Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Size: px
Start display at page:

Download "Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures"

Transcription

1 Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures E.G. Liniger, T.M. Shaw, S.A. Cohen, P.K. Leung*, S.M. Gates, G. Bonilla, D.Canaperi*, S. Papa Rao IBM T.J. Watson Research Center, 1101 Kitchawan Road, Route 134, Yorktown Heights, NY 10598; *IBM at Albany Nanotech- Center for Semiconductor Research, 255 Fuller Rd, Albany, NY Eric Liniger, Phone: (914)

2 Schematic of Processing Effects Which Impact TDDB Cu corrosion (extended queue time between cmp + capping) CN cap damage layer ( plasma PCs) cap/ild interface possible H20 / Cu / slurry contaminants CMP cmp deformation of Cu H2O / O2 contamination (damaged edge seal) Cu ULK Cu 2

3 Dielectric Structure H 2 O H 3 C silanol O O O H H H H H o O H O H 3 C O O C H O O O O H C H O O 3 O H O H o O Ho C H 2 O O O C H O 2 O H O o H Ho O O 3

4 Processing Defects CMP scratches Contamination Cu Corrosion 4

5 Experimental Procedure: ILDs tested: ILD1 k=2.2 ILD2 k=2.4 ILD3 k=2.7 Test temperature =125 o C 1X spacing ~30-40nm; 2X spacing ~90nm (primarily 2X; to minimize waf. to waf.+ lot to lot variation) Applied field for TDDB: MV/cm Testing of fully passivated HW done in air (in some cases N 2 -forming gas) Annealing + testing of partial-build HW (or HW with intentional ingress path) done in purified N 2 or N 2 -forming gas. 5

6 50nm 50nm 100 um 100um Schematic of 1X Comb/Comb (10cm) Schematic of 2X Serp/Double-Comb(1m) 6 Top spacing determined via x-secn TDDB Structures include comb/comb and serp/double-comb structures 1X spacing ~30-40nm; 2X spacing ~90nm (primarily tested 2X; to minimize waf. to waf.+ lot to lot variation) Spacing determined via x-secn

7 Effect of CMP on TDDB Introduces H 2 O into ILD which could affect film chemistry and bulk electrical properties (1). Potential for contamination of interface with residual Cu, Ta + slurry. Scratching / mechanical deformation. (1) Gates et al, submitted to Journal of Electrochem.Soc. (2010) 7

8 k value at 150oC Effect of CMP Slurry and Post CMP Treatments on k (metal dot on ILD2 k=2.4) (Gates et al) Slurry A Slurry B 150 o C Al Dot damage layer 4000A ILD Control no CMP CMP CMP + Plasma 5s NH3 350oC CMP + UV CMP + 15s 350oC 350oC 30s -Slurry A and B ; Basic -K increases with CMP but is restored through post-cmp treatments - Plasma treatment is the same used to insure strong adhesion of the cap to Cu (next integration step after CMP)

9 Effect of CMP Chemistry on Conductivity and Breakdown (metal dot on ILD2 k=2.4)(gates et al) 1.E o C Al Dot damage layer 1.E A ILD J (Amps/cm2) 1.E-07 1.E-09 Slurry A Slurry B Slurry D 1.E No CMP Field (MV/cm) 9 Slurry A and B; Basic Slurry D; Acidic CMP increases conductivity and reduces breakdown field

10 Effect of Post-cmp treatments to Restore ILD Breakdown Properties (metal dot on ILD2 k=2.4)(gates et al) 1.00E o C Al Dot damage layer 4000A ILD J (Amps/cm.sq.) 1.00E E E-09 CMP CMP and 5 s plasma CMP and 15 s UV CMP and anneal Control no CMP 1.00E Field (MV/cm) 10 Slurry A; Basic Changes in conductivity and breakdown can be reversed with post-cmp treatments

11 CMP Slurry Effects on TDDB for Wider Spacings; ILD1 k= ILD1 k=2.2 serp/comb 90nm spacing 125 o C; 3MV/cm wafer 3; CMP Slurry1(basic) wafer 4; CMP Slurry2(acidic) wafer 5; CMP Slurry3(basic) TDDB Failure Probability (%) CMP has minimal effect on TDDB for structures with wider spacing. Not picking up mech. deformation effects. Post-cmp treatments help restore breakdown properties Failure Time (a.u.) CMP effect expected to be more pronounced with smaller spacing. 11

12 Effect of Queue Time Between CMP and CN Capping Cu Corrosion 12

13 Effect of Queue Time Between CMP and Capping on TDDB 95 ILD1 k=2.2 serp/comb spacing 90nm 125 o C; 3MV/cm wafer 10; Q-time 1 a.u. wafer 11; Q-time 2 a.u. wafer 12; Q-time 3.a.u. wafer 13; Q-time 12 a.u. TDDB Failure Probability (%) Failure Time (a.u.) 13

14 Effect of Moisture on TDDB Effect of moisture on k (bulk properties) Moisture ingress through dicing damage to edge seal Moisture ingress through defects in CN cap (extended q-time) -mulation with intentional damage to edge seal; Breakdown + TDDB -TDDB for actual CN-only capped structures 14

15 Blanket Films with Metal Dots;Effect of H 2 O+Annealing on k (H 2 O from exposure to ambient) 150 o C K o -4% -9% K o -7% -11% Al damage layer 4000A ILD K As Recvd (H 2 O) 350 o C 5min anneal N o C 1h anneal N 2 As Recvd (H 2 O) 350 o C 5min anneal FG 400 o C 1h anneal FG N 2 anneal FG Anneal 15

16 H 2 O Ingress due to Dicing Damage; ILD1 k=2.2 DELAM (Not standard manufacturing dicing) 16

17 H 2 O Ingress due to Dicing Damage; ILD1 k=2.2 ILD1 k=2.2 1m serp/comb 81nm spacing 125 o C; 3MV/cm wafer1 wafer2 95 TDDB Failure Probability (%) Early fails all have subtle dicing damage No dicing damage Failure Time (a.u.) 17

18 IVs on comb/comb;effect of H 2 O + Annealing;ILD1 k=2.2 Intentionally damaged edge seal; Different sample for each IV ILD1 k=2.2 10cm comb/comb 33nm spacing 25 o C 1E-4 1E-5 1E-6 Current (A) 1E-7 1E-8 1E-9 1E-10 (2) H 2 O ingress (3) H 2 O Ingress; 400 o C 1h FG anneal (1) as-received; Intact edge seal; no H 2 O ingress 1E-11 1E-12 (4) H 2 O ingress after 400 o C 1h FG anneal 1E-13 1E-14 anneal=400c 1h FG Voltage (V) G5; chip 1; as recvd; intact edge seal; no H 2 O ingress G5; chip 2+9; 72h 25 o C 50% RH G5; chip 3; 72h 25 o C 50% RH; 400 o C 1h FG G5; chip 4 ;72h 25 o C 50% RH; 400 o C 1h FG; 24h 25 o C 50% RH 18

19 Effect of H 2 O Ingress and Egress on TDDB; ILD1 k=2.2 (Fully Passivated with Intentional Damage to Edge Seal) ILD1 k=2.2 serp/comb spacing=90nm 125 o C; 3.5MV/cm Tested in Forming Gas (FG) TDDB Failure Probability (%) CTL; No ingress/no H2O 24h 85 o C 80% RH; 24h 150 o C FG 24h 85 o C 80% RH / 1h 350 o C FG 24h 85 o C 80% RH / 1h 400 o C FG 24h 25 o C 50% RH / 1h 350 o C FG 24hrs 25 o C 50% RH / 1h 400 o C N 2 24h 25 o C 50% RH / 1h 400 o C FG Failure Time (a.u.) 19

20 Effect of H 2 O Ingress and Egress on TDDB; ILD3 k=2.7 (Fully Passivated with Intentional Damage to Edge Seal) 20 TDDB Failure Probability (%) DOA ILD3 k=2.7; 10cm comb/comb 35nm spacing 125 o C 3.5 MV/cm Tested in Forming Gas(FG) 150 o C 24h FG 400C 1h FG H 2 O(24h 25 o C 50% RH) As Received(No ingress/no H 2 O) Failure Time (a.u.) ~full recovery

21 Effect of H 2 O Egress on TDDB;CN-only Passivation; ILD1 k= ILD1 k=2.2 serp/comb; spacing 86nm 125 o C 3MV/cm Tested in forming gas (FG) Partial Build;CN cap Partial Build;CN cap; annealed 400 o C 1h FG TDDB Failure Probability (%) as received(h 2 O) 400 o C annealed Failure Time (a.u.) 21

22 Conclusions: Bulk ILD properties including k and breakdown could be restored post-cmp by using standard treatments. CMP slurry chemistry had little effect on TDDB in the case of larger spacings (90nm). Queue time between CMP and CN capping had a significant effect on TDDB. Shorter queue times (hours) preferred for TDDB. Moisture significantly degrades TDDB performance for a wide range of ILDs. Any moisture that enters during processing + remains in the structure or moisture which enters after structures are fully built has a disastrous effect on TDDB. Physically adsorbed water can be removed by annealing at ~150 o C while chemically adsorbed water requires annealing at o C for longer times. 22

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Light Emission Analysis of Trench Gate Oxides of Power Devices

Light Emission Analysis of Trench Gate Oxides of Power Devices Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles 17 Research Report Light Emission Analysis of Trench Gate Oxides of Power Devices Masanori Usui, Takahide Sugiyama, Masayasu

More information

Materials Perspective on Interconnects

Materials Perspective on Interconnects Materials Perspective on Interconnects David R. Clarke Materials Department, College of Engineering University of California, Santa Barbara Interconnects are Communication Networks Latency vs Line Length

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Integration/Reliability Issues for Cu/low-k BEOL Interconnects

Integration/Reliability Issues for Cu/low-k BEOL Interconnects IEEE Workshop on Microelectronics and Electron Devices, April 3, 2009, Boise Integration/Reliability Issues for Cu/low-k BEOL Interconnects Daniel C. Edelstein IBM Fellow and Manager, BEOL Technology Strategy

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Assembly of Mechanically Compliant Interfaces between Optical Fibers and Nanophotonic Chips

Assembly of Mechanically Compliant Interfaces between Optical Fibers and Nanophotonic Chips Assembly of Mechanically Compliant Interfaces between Optical Fibers and Nanophotonic Chips T. Barwicz, Y. Taira, H. Numata, N. Boyer, S. Harel, S. Kamlapurkar, S. Takenobu, S. Laflamme, S. Engelmann,

More information

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition See Beyond the Horizon Linx Consulting Inc. Pu b l i s h e d 3 Q 2 0 1 8 CMP TECHNOLOGIES and MARKETS to the 5 nm NODE Eighth Edition Uncertainty around true materials requirements is a huge risk for leading

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

CPG (CMPG) Quality & Reliability Monitoring Program

CPG (CMPG) Quality & Reliability Monitoring Program CPG (CMPG) Quality & Reliability Monitoring Program Quarterly Report Ending March 2018 DMS #PD-000190820 / DivDocID #129870 Microsemi s Commitment to You We at Microsemi s Communication Medical Products

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Topview 5630 Red SMD LED

Topview 5630 Red SMD LED Topview 5630 Red SMD LED 1. Features - Chip High-Luminosity SMD LED - 5.6 x 3.0 x 0.9 mm (L x W x H), 4-Pin, Small Size Surface Mount Type - Wide Viewing Angle - Long Operating Life - MSL 3 2. Applications

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane

High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane and Ozone Guo Liu, Ritwik Bhatia, Eric W. Deguns, Mark J. Dalberth, Mark J. Sowa, Adam Bertuch, Laurent Lecordier, Ganesh Sundaram,

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Reliability of Integrated Circuits and Semiconductor Devices

Reliability of Integrated Circuits and Semiconductor Devices ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Reliability of Integrated Circuits and Semiconductor Devices Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Hybrid Clean Approach for Post-Copper CMP Defect Reduction Hybrid Clean Approach for Post-Copper CMP Defect Reduction Wei-Tsu Tseng,* Vamsi Devarapalli, James Steffes, Adam Ticknor, Mahmoud Khojasteh, Praneetha Poloju, Colin Goyette, David Steber, Leo Tai, Steven

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Influence on Whiskers:

Influence on Whiskers: Sn Corrosion and It s Influence on Whiskers: J.W.Osenbach 1, J. M. DeLucca, B.D. Potteiger, R.L.Shook, and F.A. Baiocchi Agere Systems, Allentown PA Voice: (610) 712-5469 e-mail: osenbach@agere.com 0 ECTC

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

Electromigration behavior of 60 nm dual damascene Cu interconnects

Electromigration behavior of 60 nm dual damascene Cu interconnects JOURNAL OF APPLIED PHYSICS 102, 093516 2007 Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research

More information

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Manufacturing, Cleaning, Gettering - Chapter 4 FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Over the next several weeks, we ll study front

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

High Performance p-type Black Phosphorus. Transistor with Scandium Contact

High Performance p-type Black Phosphorus. Transistor with Scandium Contact Supplementary information: High Performance p-type Black Phosphorus Transistor with Scandium Contact Ling Li,* Michael Engel, + Damon B. Farmer, + Shu-jen Han, + H.-S. Philip Wong* *Department of Electrical

More information

Preliminary Ultraviolet Emitter

Preliminary Ultraviolet Emitter Preliminary Ultraviolet Emitter Product No: MTSM395UV-FP7140 Peak Emission Wavelength: 395 nm The MTSM395UV-FP7140 is a ultraviolet light emitting diode with peak emission wavelength of 395nm. The High

More information

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Development of High Voltage Silicon Carbide MOSFET Devices in KERI Development of High Voltage Silicon Carbide MOSFET Devices in KERI 2014. 06. Kim, Sang Cheol (sckim@keri.re.kr) Power Semiconductor Device Research Center Korea Electrotechnology Research Institute Contents

More information

FINAL PRODUCT/PROCESS CHANGE NOTIFICATION #16230A Generic Copy

FINAL PRODUCT/PROCESS CHANGE NOTIFICATION #16230A Generic Copy Generic Copy Issue Date: 11-Feb-2011 TITLE: Dual Sourcing at Gresham; General Sales Devices PROPOSED FIRST SHIP DATE: 11-May-2011 or earlier at customer request AFFECTED CHANGE CATEGORY(S): Wafer Fab Process

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

UMC UM F-7 2M-Bit SRAM

UMC UM F-7 2M-Bit SRAM Construction Analysis UMC UM 613264F-7 2M-Bit SRAM Report Number: SCA 9609-511 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps Lauren Peckler 1, Stacy Heslop 2, and Anthony Muscat 1 1 Department of Chemical & Environmental Engineering, University of Arizona 2 Department

More information

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV.

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV. Die Attach Materials Die Attach G, TECH. 2U. TECHNICAL R&D DIV. 2 Topics 3 What it is X 5,000 X 10,000 X 50,000 Si Chip Au Plating Substrate Ag Resin 4 Current Products Characteristics H9890-6A H9890-6S

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Electrochemical Technology in Electronics A Path from Art to Science

Electrochemical Technology in Electronics A Path from Art to Science Electrochemical Technology in Electronics A Path from Art to Science 50 years of service to development and growth of modern electronics, MEMS and NEMS L. T. Romankiw, IBM Fellow, ECS Honorary Member IBM

More information

Technical Bulletin No. 110 GAS BARRIER PROPERTIES OF RESINS

Technical Bulletin No. 110 GAS BARRIER PROPERTIES OF RESINS Technical Bulletin No. 110 GAS BARRIER PROPERTIES OF RESINS The most outstanding property of EVAL TM resins is their ability to provide a barrier to gases such as oxygen, nitrogen, carbon dioxide and helium.

More information

Passive components : 5 years failure analysis feedback From all markets

Passive components : 5 years failure analysis feedback From all markets 2 nd SPCD 12-14 October 2016 Passive components : 5 years failure analysis feedback From all markets Eric ZAIA (Material Engineer) Béatrice MOREAU (Passive components & PCB dpt. Manager) SUMMARY 1 Introduction

More information

Simultaneous achievement of high performance and high reliability in a 38/77GHz InGaAs/AlGaAs PHEMT MMIC

Simultaneous achievement of high performance and high reliability in a 38/77GHz InGaAs/AlGaAs PHEMT MMIC Simultaneous achievement of high performance and high reliability in a 38/77GHz InGaAs/AlGaAs PHEMT MMIC Takayuki Hisaka, 1a) Hajime Sasaki, 1 Takayuki Katoh, 1 Ko Kanaya, 1 Naohito Yoshida, 1 Anita A.

More information

IBM Research Report. Low-cost, High Efficiency Solar Cells on Scrapped CMOS Silicon

IBM Research Report. Low-cost, High Efficiency Solar Cells on Scrapped CMOS Silicon RC24941 (W1001-102) January 29, 2010 Materials Science IBM Research Report Low-cost, High Efficiency Solar Cells on Scrapped CMOS Silicon Joel P. de Souza, Daniel Inns*, Katherine L. Saenger, Harold J.

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

TSV Failure Mechanisms

TSV Failure Mechanisms TSV Failure Mechanisms By Christopher Henderson This section covers Through-Silicon Via, or TSV, Failure Mechanisms. The first failure mechanism we ll discuss is copper pumping. This is related to the

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Copper Wire Packaging Reliability for Automotive and High Voltage

Copper Wire Packaging Reliability for Automotive and High Voltage Copper Wire Packaging Reliability for Automotive and High Voltage Tu Anh Tran AMPG Package Technology Manager Aug.11.2015 TM External Use Agenda New Automotive Environments Wire Bond Interconnect Selection

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

IPC RELIABILITY - CASTELLETTO. Reliability Report. Combo IC for PFC and ballast control Assembly plant location

IPC RELIABILITY - CASTELLETTO. Reliability Report. Combo IC for PFC and ballast control Assembly plant location General Information Locations Product Line Product Description Product division U337 Combo IC for PFC and ballast control I&PC Wafer fab location Assembly plant location ANG MO KIO MUAR Package SO20 Silicon

More information

Barrier Films and Adhesives for Display Applications CCR OLED Workshop, June 8, 2011, U of MN

Barrier Films and Adhesives for Display Applications CCR OLED Workshop, June 8, 2011, U of MN Barrier Films and Adhesives for Display Applications CCR OLED Workshop, June 8, 2011, U of MN 3M Company Overview Sales: $23.1 B Net income: $3.2 B R&D investment $1.3 B (5.6% to sales) International sales

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

Performance Improvements in PV Modules Using Ionomer Encapsulants

Performance Improvements in PV Modules Using Ionomer Encapsulants Performance Improvements in PV Modules Using Ionomer Encapsulants Mark Jacobson Sr. Accounts Manager, DuPont Encapsulants Cleveland, OH April 9, 2011 2 Agenda Encapsulant requirements Failure modes Moisture

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Study of cobalt etching speed controling by ph and oxidizer concentraion

Study of cobalt etching speed controling by ph and oxidizer concentraion Study of cobalt etching speed controling by ph and oxidizer concentraion Kurita Water Industries Ltd. Yuichi Ogawa, Nobuko Gan, Toru Masaoka, Minami Yoshimura, Hideaki Iino imec vzw Quoc Toan Le, Els Kesters,

More information

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Steve Burgess, Stephen Vargo SPTS

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information