THE rapid development of microelectronic systems, such

Size: px
Start display at page:

Download "THE rapid development of microelectronic systems, such"

Transcription

1 938 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 7, JULY 2015 Modeling, Fabrication, and Reliability of Through Vias in Polycrystalline Silicon Panels Qiao Chen, Hao Lu, Venky Sundaram, and Rao R. Tummala, Fellow, IEEE Abstract Silicon interposers with through-silicon vias (TSVs) have been developed in single-crystalline silicon wafer to address the high I/O density requirements between high performance logic, memory, graphic, and other devices. However, singlecrystalline silicon interposers suffer from many shortcomings such as high cost, low electrical performance, and reliability. To overcome these shortcomings of traditional silicon interposers, an entirely different approach using polycrystalline silicon panels with polymer liners and through-package-vias (TPVs) is proposed by Georgia Tech Packaging Research Center. This paper, for the first time, focuses on the reliability of TPVs in polycrystalline silicon interposers fabricated from panels. Mechanical simulations were carried out that show lower stresses in TPVs in polycrystalline silicon lined with thick polymer liners, compared with TSVs in traditional single-crystalline silicon with thin SiO 2 layers. TPVs were fabricated for thermal cycling tests, resistance monitoring, and scanning electron microscope imaging. The reliability characterization results showed good mechanical reliability of TPVs in polycrystalline silicon panels. Index Terms Fracture strength, polycrystalline silicon panel, reliability characterization, silicon interposer. I. INTRODUCTION THE rapid development of microelectronic systems, such as smartphones and tablets, has fueled a growing interest in advancing packaging technologies to smaller pitch and lower cost [1]. One of these advanced packaging technologies is the development of interposers for interconnecting 3-D IC stacks with through-silicon vias (TSVs) [2]. Traditional silicon interposers, based on TSV techniques, however, suffer from high production cost because of expensive CMOS tools and processes and small wafer sizes. They also suffer from high electrical loss in spite of thin SiO 2 layers [3], [4]. In addition, the thin SiO 2 layers can also lead to reliability problems. Liu et al. [5], [7] [9] and Chen et al. [6] have extensively reported the finite-element modeling (FEM) and Manuscript received March 26, 2015; revised June 15, 2015; accepted June 15, Date of current version July 15, This work was supported by the Silicon and Glass Interposer through the Consortium of Georgia Tech Packaging Research Center. Recommended for publication by Associate Editor A. Chandra upon evaluation of reviewers comments. Q. Chen, H. Lu, and V. Sundaram are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA USA ( qiaochen198411@gatech.edu; hlv6@gatech.edu; vsunda@ece.gatech.edu). R. R. Tummala is with the School of Electrical and Computer Engineering, School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA USA ( rao.tummala@ece.gatech.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCPMT simulations of the stress distribution in TSVs. These models showed that the stresses at the Cu/SiO 2 interface and in the SiO 2 layer lead to potential failure mechanisms in TSVs, such as liner delamination and cracking, both of which are confirmed by the characterization of fabricated TSVs after thermal cycling. Cassidy et al. [10] reported similar results by identifying the localized stresses around the TSV sidewalls. It was also pointed out that the thin oxide liner was susceptible to problems such as leakage and dielectric breakdown. Georgia Tech Packaging Research Center proposed an alternative to silicon interposer with through-package vias (TPVs) to interconnect logic memory for high bandwidth in the short term and entire systems in the long run with the system-on-package concept technology [11]. This interposer technology is a panel-based polycrystalline silicon with TPVs lined with thick polymers, aimed at lowering the total cost of fabricating the interposer with high performance and high reliability [12] [14]. Due to its lower purity level, polycrystalline silicon material presents a much lower resistivity ( 0.5 cm) than the traditional wafer. Hence, addressing this higher loss and yet achieve higher performance is one of the major challenges. This issue can be addressed with the introduction of low loss and thick polymer liners for insulating the lossy silicon. The electrical simulation results in [14] confirmed that TPVs with polymer liners present superior electrical performance, such as lower insertion loss, than the TSVs with thin SiO 2 layers. This paper focuses on the reliability of these TPVs. To address the reliability challenges due to the coefficient of thermal expansion (CTE) mismatch between Cu and silicon, thick, and low cost polymer liners with low modulus are proposed to act as stress buffer, replacing SiO 2 and barrier layer in TSVs. This paper starts with the introduction of polycrystalline silicon panels followed by a study of handling such thin panels during processing (Section II). Following Section II, Section III presents the mechanical modeling results, showing the stress comparisons between TPVs in polycrystalline silicon interposers and TSVs in traditional silicon interposers. Parametric studies were also performed with in-via liner thicknesses. Section IV summaries the fabrication of TPVs. Reliability characterization of TPVs is presented in Section V with thermal cycling tests, resistance monitoring, and scanning electron microscope (SEM) imaging. II. POLYCRYSTALLINE SILICON PANELS The top view of the 200-μm thick polycrystalline silicon panel used in this paper is shown in Fig IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information

2 CHEN et al.: MODELING, FABRICATION, AND RELIABILITY OF THROUGH VIAS IN POLYCRYSTALLINE SILICON PANELS 939 Fig. 1. Polycrystalline silicon panel used in this paper. Fig. 2. Four-point bend test to evaluate fracture strength. Polycrystalline silicon panels have been widely used in photovoltaic industry as the substrate material for solar-cell applications [15] [18], but not as substrates for interposer demonstrations. Polycrystalline silicon panel is cheaper to fabricate than the single-crystalline wafer, and can be scaled to large sizes. More importantly, the larger size substrates yield more numbers of interposers, thus promising to lower the cost of single-unit interposers. However, polycrystalline silicon is a brittle material, and therefore, one of the fundamental challenges is the high fracture rate caused by handling during the TPV liner formation and metallization process steps. These challenges get exacerbated when moving to thinner and larger panel sizes. To overcome these panel breakage challenges, surface polymer liners on both sides of the panel were introduced. The surface liner not only minimizes the damage, improves the strength, and enables handling of the thin silicon panels, but also functions as an electrical insulator to isolate signals from leaking into the lossy silicon. The surface liner was deposited by vacuum lamination of thin dry film polymer dielectrics during TPV liner fabrication process [14]. The fracture strength of any brittle material, such as polysilicon, is controlled by the existence of critical defects, which act as the origins of the final failure. Unlike single-crystalline silicon, defects are distributed randomly in the polycrystalline silicon panels, due to different crystal orientations and grain boundaries. Different grain orientations can be clearly observed in the top view detail of the as-cut polycrystalline silicon panel made by directional solidification, shown in Fig. 1. Therefore, it is inaccurate to evaluate the fracture strength of the sample by carrying out the experiment on an entire large panel. Hence, to quantify the fracture strength of the stack consisting of the silicon panel with polymer liners, three types of samples were prepared, including raw silicon panels, silicon panels laminated with 22.5-μm thick polymer liners on both sides, and silicon panels laminated with 40-μm thick polymer liners on both sides. Each test sample was diced into 24 rectangular pieces, each having a length of 37.5 mm and a width of 25 mm, and mechanically tested by four-point bending tests (Fig. 2). The schematic of testing fixture, with the sample mounted, is shown in Fig. 3. The stress was calculated Fig. 3. Schematic of fixture setup for four-point bending test. using the following [19]: σ = 3P(L L i) 2bd 2 (1) where σ is the stress due to applied load, P is the applied load, L outer span, L i is the inner span, b is the sample width, and d is the sample thickness. Consistent with the hypothesis about the randomness of the defects, strength data were generated with a large amount of scatter, due to many variables such as defect locations, grain sizes, and orientations. Therefore, statistical treatment of the strength data was necessary with Weibull plots. Weibull theory of brittle fracture is based on the fact that failure of the whole body depends on a combination of survival probabilities of the individual volume elements. For a given test, the Weibull equation can be written as [20] [ ( ) σ m ] P f = 1 exp (2) where P f is the probability of failure due to applied stress σ, σ θ is the characteristic strength when 62.5% of the sample fails, and m is the Weibull modulus. In general, the Weibull plots can be obtained by assigning P f to each strength point. The two important factors σ θ (usually used as a reference for the fracture strength of the sample) and m (Weibull modulus describing the variation of the data) are achieved by plotting ln(1/ln(1 P f )) versus ln(σ ) followed by a linear regression analysis. σ θ

3 940 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 7, JULY 2015 Fig. 5. Schematic cross-sectional drawing of TPV for mechanical modeling. Fig. 4. Weibull plots of fracture strength for silicon panels with and without polymer liners. TABLE I PARAMETER VALUES FOR FRACTURE STRENGTH AFTER LINEAR REGRESSION The Weibull plots for three types of test samples are compared in Fig. 4 with critical factors obtained from linear regression summarized in Table I. It can be concluded from Fig. 4 and Table I that surface polymer liners significantly improve the fracture strength and thus the handling of the thin polycrystalline panels. The thicker polymer led to even higher fracture strength. The comparison between Weibull modulus from different sets of data suggests that the variations were small enough and were similar in each data set, confirming the validity of the tests. These results proved the hypothesis that surface liners on both sides of the panel help improve the handling of the substrate and thus mitigate the panel breakage problem. III. MECHANICAL MODELING OF TPVs In this section, FEM was performed using Ansoft Ansys to simulate the proposed TPV structure with polymer liners, in comparison with TSVs with thin SiO 2 liners. A 2-D axisymmetric model was built to generate and analyze both the interfacial shear and first principal stresses due to thermal loading. Parametric studies were also performed with sidewall liner thickness. The schematic cross section (one-fourth via) is presented in Fig. 5 with geometry values. The Cu via size was 30 μm with a height of 200 μm. The diameter of the pad was 50 μm and the thickness was 10 μm. Fig. 6 compares the schematic cross section and meshed models for TPVs and TSVs. In this paper, TPVs were simulated with 3-μm-thick polymer liner on the top and Fig. 6. Schematic cross-sectional drawings and meshed models for (a) TPV and (b) TSV. TABLE II MATERIAL PROPERTIES USED IN MECHANICAL MODELING bottom surfaces and 3-μm-thick via sidewall liner, compared with 1-μm-thick SiO 2 liner on the top and bottom surfaces of silicon and 1-μm-thick via sidewall liner for TSVs. The effect of the very thin diffusion barrier was neglected in TSV modeling. The material properties used in the simulations are given in Table II. The polymer presents moderate CTE and much lower modulus than SiO 2. The nonlinear model of

4 CHEN et al.: MODELING, FABRICATION, AND RELIABILITY OF THROUGH VIAS IN POLYCRYSTALLINE SILICON PANELS 941 TABLE IV STRESS COMPARISONS FOR TPV WITH DIFFERENT SIDEWALL LINER THICKNESSES Fig. 7. Thermal loading curve for mechanical modeling. Fig. 8. stress. Contour plots for the (a) first principal stress in Si and (b) shear TABLE III STRESS COMPARISONS IN TSV WITH SiO 2 LINER AND TPV WITH POLYMER LINER Cu was used based on [5]. A standard thermal load cycle of 55 C 125 C (Fig. 7) was used in the analysis with a dwelling time of 15 min at both extreme temperatures. The contour plots of the first principal stress in Si and shear stress are shown in Fig. 8. Stress localizations occur at the interfaces of different materials due to CTE mismatch. Table III summaries the maximum first principal stress in Si substrate and the maximum shear stress for TPVs and TSVs at 125 C. It can be observed that the maximum first principal stress in silicon is significantly reduced in TPV (164 MPa) compared with TSV (259 MPa). This is due to the low modulus and cushion effect [22] of the polymer material. The much smaller stress in TPV can mitigate the possibility of silicon crack and thus result in better reliability. Similarly, TSV shows larger (137 MPa) maximum shear stress than the TPV (85 MPa). The relatively higher interfacial shear stress localizations in TSV structures can be attributed to the higher CTE mismatch of SiO 2 with Cu vias. This makes it more susceptible to delamination failures compared with TPV structures. Based on the above discussions, thick polymer liners in TPVs act as buffer layers, absorbing stresses, and can reduce the risk of failures in both substrate cracking and interface delamination. On the other hand, TSVs show higher stress in spite of the thinner layer of SiO 2. Furthermore, due to higher stiffness of SiO 2, the TSV structures are more prone to cohesive cracks in liners compared with TPV structures. It is also expected that TSV structures would experience additional stresses during the backgrinding processes required for fabricating these structures. Parametric studies are also performed with varying sidewall liner thickness, since this analysis can provide important guidelines for the reliability of TPVs. Three different cases, 5-, 10-, and 15-μm in-via liners, were studied and compared. The substrate thickness in each case was 200 μm andthe diameter of the via (Cu filled) was 30 μm. The surface liner was 15-μm-thick. As presented in Table IV, when the in-via liner becomes thicker (5, 10, and 15 μm), the maximum first principal stress at 125 C gradually decreases (111, 52, and 21 MPa). Hence, at elevated temperature, larger polymer liner thicknesses absorb the stresses more effectively and lead to less first principal stresses in silicon and thus less risk of cohesive cracking in the substrate. It can also be summarized from Table IV that the maximum shear stresses for TPVs are 82, 80, and 79 MPa for TPVs with 5-, 10-, and 15-μm liners, respectively. As expected, the shear stresses localize at material interfaces and larger liner thicknesses also help to reduce the shear stresses, thus leading to a smaller possibility of delamination failures. IV. FABRICATION OF TPVs Following the simulation results from Section III, this section discusses the fabrication of test vehicles to evaluate the thermomechanical reliability of TPVs in polycrystalline silicon panels. These test vehicles consisted of two metal wiring layers and TPV transitions with daisy chain structures.

5 942 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 7, JULY 2015 Fig. 10. Top view of the fabricated test structures. Fig. 9. layers. Fabrication process flow for test vehicle with TPVs and two metal In addition, four-point probe pads (kelvin structures) were included in the design, which are able to exclude any errors from probe contact resistances and wire resistances. The fabrication process used is presented in Fig. 9. The process started with the cleaning of the 150-mm as-cut polycrystalline silicon panel with acetone, methanol, isopropanol, and deionized water. Then, TPVs were drilled by 355-nm UV laser ablation with via entrance diameters of 80 μm. UV lasers were used for the best combination of via size reduction, cost, and throughput. The panels then underwent a plasma cleaning step to remove impurities, followed by a surface treatment with silane solutions (3-aminopropyltrimethoxy silane). This silane treatment was meant to form covalent bonds at the interfaces between silicon and the applied polymer films to improve adhesion [23]. 40-μm-thick polymer dry films were laminated on both sides to insulate the surface and fill the via. This step was achieved using a vacuum laminator at 95 C. This vacuum-assisted process removed the air inside the via, leading to a faster and void-free filling process for the TPVs. In addition, such a double-side process can help to mitigate any potential warpage of the thin silicon panel generated by the heating and cooling cycles in the lamination process. A short hot press cycle was performed at 120 C with 1-ton force for better planarity by eliminating any dimples resulting from the filling process, followed by a thermal curing to complete the polymerization reactions. Then, a second UV laser ablation process step was applied to form 50-μm diameter through holes in the polymer, leading to 15-μm TPV sidewall liners. Precise alignment of the laser beam to the TPV locations was necessary to ensure that the ablation process happened in the center of the vias in silicon and to maintain sufficient polymer liner thickness on the side walls. The TPV metallization and redistribution layer fabrication required an electroless copper seed layer, in contrast to sputtered seed for TSVs. Prior to such a process, a chemical desmear process was performed to roughen the polymer liner surface to improve Cu-to-polymer adhesion. The panel was then patterned by a double-sided lithography process. This process consisted of several steps, starting with dry-film photoresist lamination using a hot roll laminator, followed by an UV exposure, and photoresist development by dilute sodium carbonate solution in a spray tool. Subsequently, electrolytic copper plating using a semiadditive process method was carried out, varying both the current density as well as the plating time to control the final Cu thickness. The photoresist was then stripped by potassium hydroxide and the Cu seed layer was etched by dilute CuCl 2 solution. The top view of the fabricated test structures is shown in Fig. 10. V. RELIABILITY CHARACTERIZATION OF TPVs The test vehicles were first subjected to a 24-h bake at 125 C, followed by accelerated moisture sensitivity level 3 preconditioning (60 C and 60% RH for 40 h), followed by three times reflow at a peak temperature of 260 C, to simulate the lead-free solder board assembly processes. The test vehicles were then subjected to thermal cycles between 55 C and 125 C with a dwelling time of 15 min at each temperature extreme, as described in JEDEC JESD22-A104 condition B test standard. The samples were taken out at 100, 200, 500 cycles, and every 500 cycles thereafter, and the daisy chain resistances were measured to detect

6 CHEN et al.: MODELING, FABRICATION, AND RELIABILITY OF THROUGH VIAS IN POLYCRYSTALLINE SILICON PANELS 943 Fig. 11. Resistance measurement of reliability samples. Fig. 13. SEM image of Si/polymer liner interface. low modulus and moderate CTE that effectively absorbed the stresses from CTE mismatch between copper and silicon. VI. CONCLUSION This paper presents, for the first time, the modeling, fabrication, and reliability of TPVs in polycrystalline silicon panels. The reliability test vehicles with metalized TPVs and daisy chain structures were successfully demonstrated. Both mechanical modeling and reliability characterization results indicate that TPVs in polycrystalline silicon panels can achieve high reliability due to the thick sidewall polymer liners with low modulus and moderate CTE. Fig. 12. SEM image of Cu/polymer liner interface. TPV failures, as shown in Fig. 11. No significant resistance changes were observed during the tests. All the TPV daisy chains survived 4000 thermal shock cycles with a stable resistance value of 0.13, confirming the thermomechanical reliability of TPVs in polycrystalline silicon panels. To characterize the samples after thermal cycling tests, SEM imaging was carried out after microsectioning and fine polishing. To protect the sample and to avoid any artificial cracking during sample handling and cross sectioning, TPV samples were first molded in epoxy resins. Then, the molded samples were fine polished to expose the TPVs. No Cu cracking was found, which may explain why there were no resistance changes. In addition, no silicon cracking was observed, which can be attributed to the cushion effect of polymer liners to mitigate the first principal stresses in silicon, as discussed in Section III. Magnified images at TPV corners were also observed to analyze the interfaces between different materials, where shear stress localizations occur, as predicted by the FEM and simulations. The Cu/polymer liner and Si/polymer liner interfaces are shown in Figs. 12 and 13, respectively. It can be concluded that no delamination failures occurred at either of these interfaces. This is due to the thick polymer liners with ACKNOWLEDGMENT The authors would like to thank the Silicon and Glass Interposer Consortium of Georgia Tech Packaging Research Center for their support. The authors would also like to thank Y. Suzuki from Zeon Corporation for polymer process guidance, and Micron Laser for their support. REFERENCES [1] R.R.Tummala,Fundamentals of Microsystems Packaging. NewYork, NY, USA: McGraw-Hill, [2] R.R.Tummalaet al., Trend from ICs to 3D ICs to 3D systems, in Proc. IEEE Custom Integr. Circuits Conf., San Jose, CA, USA, Sep. 2009, pp [3] Z. Xu and J.-Q. Lu, High-speed design and broadband modeling of through-strata-vias (TSVs) in 3D integration, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 2, pp , Feb [4] Z. Xu, A. Beece, K. Rose, T. Zhang, and J.-Q. Lu, Modeling and evaluation for electrical characteristics of through-strata-vias (TSVS) in three-dimensional integration, in Proc. IEEE Int. Conf. 3D Syst. Integr. (3DIC), Sep. 2009, pp [5] X. Liu, Q. Chen, P. Dixit, R. Chatterjee, R. R. Tummala, and S. K. Sitaraman, Failure mechanisms and optimum design for electroplated copper through-silicon vias (TSV), in Proc. 59th Electron. Compon. Technol. Conf. (ECTC), May 2009, pp [6] Q. Chen, X. Liu, V. Sundaram, S. K. Sitaraman, and R. R. Tummala, Double-side process and reliability of through-silicon vias for passive interposer applications, IEEE Trans. Device Mater. Rel., vol. 14, no. 4, pp , Dec [7] X. Liu et al., Thermo-mechanical behavior of through silicon vias in a 3D integrated package with inter-chip microbumps, in Proc. IEEE 61st Electron. Compon. Technol. Conf. (ECTC), May/Jun. 2011, pp

7 944 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 7, JULY 2015 [8] X. Liu et al., Reliability assessment of through-silicon vias in multi-die stack packages, IEEE Trans. Device Mater. Rel., vol. 12, no. 2, pp , Jun [9] X. Liu, Q. Chen, V. Sundaram, R. R. Tummala, and S. K. Sitaraman, Failure analysis of through-silicon vias in free-standing wafer under thermal-shock test, Microelectron. Rel., vol. 53, no. 1, pp , Jan [10] C. Cassidy et al., Through silicon via reliability, IEEE Trans. Device Mater. Rel., vol. 12, no. 2, pp , Jun [11] R. R. Tummala, Introduction to System-on-Package (SOP) Miniaturization of the Entire System. New York, NY, USA: McGraw-Hill, [12] Q. Chen et al., Design and demonstration of low cost, panel-based polycrystalline silicon interposer with through-package-vias (TPVs), in Proc. IEEE 61st Electron. Compon. Technol. Conf. (ECTC), Lake Buena Vista, FL, USA, May/Jun. 2011, pp [13] V. Sundaram, Q. Chen, Y. Suzuki, G. Kumar, F. Liu, and R. Tummala, Low-cost and low-loss 3D silicon interposer for high bandwidth logicto-memory interconnections without TSV in the logic IC, in Proc. IEEE 62nd Electron. Compon. Technol. Conf. (ECTC), May/Jun. 2012, pp [14] Q. Chen, Y. Suzuki, G. Kumar, V. Sundaram, and R. R. Tummala, Modeling, fabrication, and characterization of low-cost and highperformance polycrystalline panel-based silicon interposer with through vias and redistribution layers, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 12, pp , Dec [15] A. F. B. Braga, S. P. Moreira, P. R. Zampieri, J. M. G. Bacchin, and P. R. Mei, New processes for the production of solar-grade polycrystalline silicon: A review, Solar Energy Mater. Solar Cells, vol. 92, no. 4, pp , [16] J. Degoulange, I. Périchaud, C. Trassy, and S. Martinuzzi, Multicrystalline silicon wafers prepared from upgraded metallurgical feedstock, Solar Energy Mater. Solar Cells, vol. 92, no. 10, pp , [17] S. Pizzini, Towards solar grade silicon: Challenges and benefits for low cost photovoltaics, Solar Energy Mater. Solar Cells, vol. 94, no. 9, pp , [18] A. A. Istratov, T. Buonassisi, M. D. Pickett, M. Heuer, and E. R. Weber, Control of metal impurities in dirty multicrystalline silicon for solar cells, Mater. Sci. Eng. B, vol. 134, nos. 2 3, pp , [19] C. Yang, F. Mess, K. Skenes, S. Melkote, and S. Danyluk, On the residual stress and fracture strength of crystalline silicon wafers, Appl. Phys. Lett., vol. 102, no. 2, p , [20] X. F. Brun and S. N. Melkote, Analysis of stresses and breakage of crystalline silicon wafers during handling and transport, Solar Energy Mater. Solar Cells, vol. 93, no. 8, pp , Aug [21] M. J. Madou, Fundamentals of Microfabrication: The Science of Miniaturization, 2nd ed. Boca Raton, FL, USA: CRC Press, Mar [22] X. Liu et al., Dimension and liner dependent thermomechanical strain characterization of through-silicon vias using synchrotron X-ray diffraction, J. Appl. Phys., vol. 114, no. 6, p , [23] K. L. Mittal, Silanes and Other Coupling Agents, vol. 4. Boca Raton, FL, USA: CRC Press, Qiao Chen received the B.S. and M.S. degrees in materials science and engineering from Tsinghua University, Beijing, China, in 2006 and 2008, respectively, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in His current research interests include the modeling, design, demonstration, and characterization of through-silicon-via and silicon interposers for 3-D integration. Hao Lu received the B.S. and M.S. degrees in Mechanical Engineering from the Huazhong University of Science and Technology, Wuhan, China. He is currently pursuing the Ph.D. degree in the School of Electrical and Computer Engineering, Georgia Institute of Technology (Georgia Tech), Atlanta, GA, USA. He is a Graduate Research Assistant with the 3D Systems Packaging Research Center, Georgia Tech. His main research interests include the multilayer redistribution layer design, fabrication, and signal integrity analysis for cost driven panel-based glass interposer. Venky Sundaram received the B.S. degree from IIT Mumbai, Mumbai, India, and the M.S. and Ph.D. degrees in materials science and engineering from the Georgia Institute of Technology (Georgia Tech), Atlanta, GA, USA. He is currently the Director of Research and Industry Relations with the 3-D Systems Packaging Research Center, Georgia Tech. He is the Program Director of the Low-Cost Interposer and Packages Industry Consortium with over 25 active global industry members. He is a globally recognized expert in packaging technology, and the Co-Founder of Jacket Micro Devices, Livonia, MI, USA, and a RF/wireless startup acquired by AVX Corporation, Fountain Inn, SC, USA. He has authored over 100 publications and holds 15 patents. His current research interests include system-on-package technology, 3-D packaging and integration, ultrahigh-density interposers, embedded components, and systems integration research. Dr. Sundaram is the Co-Chairman of the IEEE Components, Packaging and Manufacturing Technology Technical Committee on High Density Substrates and the Director of Education Programs with the Executive Council of the International Microelectronics and Packaging Society. He has received several best paper awards. Rao R. Tummala (F 93) received the B.S. degree from the Indian Institute of Science (IIS), Bangalore, India, and the Ph.D. degree from the University of Illinois at Urbana Champaign, Champaign, IL, USA. He was an IBM Fellow, pioneering the first plasma display and multichip electronics for mainframes and servers. He is currently a Distinguished and Endowed Chair Professor and the Founding Director of the National Science Foundation s Engineering Research Center with the Georgia Institute of Technology (Georgia Tech), Atlanta, GA, USA, pioneering Moore s Law for system integration. He has authored over 500 technical papers, the first modern book entitled Microelectronics Packaging Handbook, the first undergrad textbook entitled Fundamentals of Microsystems Packaging, and the first book introducing the system-on-package technology, and holds 74 patents and inventions. Prof. Tummala is a member of the National Academy of Engineering. He has received many industry, academic, and professional society awards, including the Industry Week s Award for improving the U.S. competitiveness, the IEEE David Sarnoff and Dan Hughes Awards from the International Microelectronics and Packaging Society, the Engineering Materials Award from ASM, and the Total Excellence in Manufacturing Award from the Society of Manufacturing Engineers. He was a recipient of the Distinguished Alumni Awards from the University of Illinois, IIS, and Georgia Tech, and the Technovisionary Award from the Indian Semiconductor Association and the IEEE Field Award for his contributions in electronics systems integration and cross-disciplinary education in He was the President of the IEEE Components, Packaging and Manufacturing Technology and the International Microelectronics and Packaging Society.

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 12, DECEMBER

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 12, DECEMBER IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 12, DECEMBER 2014 2035 Modeling, Fabrication, and Characterization of Low-Cost and High-Performance Polycrystalline

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic Super High Density Two Metal Layer Ultra-Thin Organic Substrates for Next Generation System-On-Package (SOP), SIP and Ultra-Fine Pitch Flip-Chip Packages Venky Sundaram, Hunter Chan, Fuhan Liu, and Rao

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 Design, Modeling, Fabrication and Characterization of 2 5-μm Redistribution Layer Traces by Advanced Semiadditive Processes on

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan Advances in panel scalable planarization and high throughput differential seed layer etching processes for multilayer RDL at 20 micron I/O pitch for 2.5D glass interposers Hao Lu, Fuhan Liu, Venky Sundaram,

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Predicting the Reliability of Zero-Level TSVs

Predicting the Reliability of Zero-Level TSVs Predicting the Reliability of Zero-Level TSVs Greg Caswell and Craig Hillman DfR Solutions 5110 Roanoke Place, Suite 101 College Park, MD 20740 gcaswell@dfrsolutions.com 443-834-9284 Through Silicon Vias

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School of Electrical and Computer Engineering Georgia Institute

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

ANISOTROPIC conductive film (ACF) is a film-type

ANISOTROPIC conductive film (ACF) is a film-type 1350 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 9, SEPTEMBER 2015 Effects of Bonding Pressures and Bonding Temperatures on Solder Joint Morphology and Reliability

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

IN RECENT years, through-silicon vias (TSVs) are being

IN RECENT years, through-silicon vias (TSVs) are being IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 14, NO. 4, DECEMBER 2014 1041 Double-Side Process and Reliability of Through-Silicon Vias for Passive Interposer Applications Qiao Chen, Xi Liu,

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard Raymond N. Dimagiba, Manolo G. Mena. A. Model Description

Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard Raymond N. Dimagiba, Manolo G. Mena. A. Model Description Investigation of the Effect of Varying Silicon Die Size and Thickness on a Small Outline Transistor on the Silicon Die Crack Using Finite Element Method Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration 2017 IEEE 67th Electronic Components and Technology Conference First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan

More information

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS B. Rogers, M. Melgo, M. Almonte, S. Jayaraman, C. Scanlan, and T. Olson Deca Technologies, Inc 7855 S. River Parkway,

More information

ORGANIC materials are most commonly used for today s

ORGANIC materials are most commonly used for today s 796 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 5, MAY 2014 Finite Element Analysis and Experiment Validation of Highly Reliable Silicon and Glass Interposers-to-Printed

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates

Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates Study of Cracking of Thin Glass Interposers Intended for Microelectronic Packaging Substrates Scott R. McCann 1,2, Yoichiro Sato 3, Venkatesh Sundaram 1,4, Rao R. Tummala 1,4,5, and Suresh K. Sitaraman

More information

Two Chips Vertical Direction Embedded Miniaturized Package

Two Chips Vertical Direction Embedded Miniaturized Package Two Chips Vertical Direction Embedded Miniaturized Package Shunsuke Sato, 1 Koji Munakata, 1 Masakazu Sato, 1 Atsushi Itabashi, 1 and Masatoshi Inaba 1 Continuous efforts have been made to achieve seemingly

More information

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Li Zheng 1, Yang Zhang, Xuchen Zhang and Muhannad S. Bakir 2 School of Electrical and Computer Engineering Georgia

More information

Verifying The Reliability Of Connections In HDI PWBs

Verifying The Reliability Of Connections In HDI PWBs Verifying The Reliability Of Connections In HDI PWBs The stacking of via holes is used effectively in the development of high density circuits on build-up printed wiring boards (PWBs). However, when micro

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

DEVICE packaging today is commonly performed with. Process Innovations to Prevent Glass Substrate Fracture From RDL Stress and Singulation Defects

DEVICE packaging today is commonly performed with. Process Innovations to Prevent Glass Substrate Fracture From RDL Stress and Singulation Defects 622 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 16, NO. 4, DECEMBER 2016 Process Innovations to Prevent Glass Substrate Fracture From RDL Stress and Singulation Defects Scott McCann, Bhupender

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

A New 2.5D TSV Package Assembly Approach

A New 2.5D TSV Package Assembly Approach A New 2.5D TSV Package Assembly Approach Yuan Lu 1,2, Wen Yin 1,2, Bo Zhang 1,2, Daquan Yu 1,2, Lixi Wan 2, Dongkai Shangguan 1,2 Guofeng Xia 3, Fei Qin 3, Mao Ru 4, Fei Xiao 4 1 National Center for Advanced

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Sherlock 4.0 and Printed Circuit Boards

Sherlock 4.0 and Printed Circuit Boards Sherlock 4.0 and Printed Circuit Boards DfR Solutions January 22, 2015 Presented by: Dr. Nathan Blattau Senior Vice President 9000 Virginia Manor Rd Ste 290, Beltsville MD 20705 301-474-0607 www.dfrsolutions.com

More information

Conductive Adhesive Applications to Imprint Circuitry

Conductive Adhesive Applications to Imprint Circuitry Conductive Adhesive Applications to Imprint Circuitry Liye Fang Department of Electrical Engineering, T. J. Watson School of Engineering and Applied Science, State University of New York at Binghamton,

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Interconnection Reliability of HDI Printed Wiring Boards

Interconnection Reliability of HDI Printed Wiring Boards Presented in the ECWC 10 Conference at IPC Printed Circuits Expo, SMEMA Council APEX and Designers Summit 05 Interconnection Reliability of HDI Printed Wiring Boards Tatsuo Suzuki Nec Toppan Circuit Solutions,

More information

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies Jian-Yu Shih 1,Yen-Chi Chen 2, Cheng-Hao Chiang 1, Chih-Hung Chiu 2, Yu- Chen Hu 1, Chung-Lun Lo 2, Chi-Chung Chang

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging

Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging Y. W. Pok, D. Sujan, M. E. Rahman, S. S. Dol School of Engineering and Science, Curtin University Sarawak Campus, CDT

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging Sādhanā Vol. 33, Part 3, June 2008, pp. 251 259. Printed in India A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging D C LIN 1,

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Micromachining vs. Soft Fabrication

Micromachining vs. Soft Fabrication Introduction to BioMEMS & Medical Microdevices Silicon Microfabrication Part 1 Companion lecture to the textbook: Fundamentals of BioMEMS and Medical Microdevices, by Prof., http://saliterman.umn.edu/

More information

Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes

Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes Embedded Trench Redistribution Layers (RDL) by Excimer Laser Ablation and Surface Planer Processes Yuya Suzuki, Venky Sundaram, Rao Tummala Georgia Insitute of Technology 3D Systems Packaging Research

More information

12 Technical Paper. Key words: PPR electroplating, via fill, thermal management, through hole fill

12 Technical Paper. Key words: PPR electroplating, via fill, thermal management, through hole fill 12 Technical Paper The Effects of Board Design on Electroplated Copper Filled Thermal Vias for Heat Management Carmichael Gugliotti, Rich Bellemare MacDermid Enthone Electronics Solutions Waterbury, CT,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

THERMO-MECHANICAL RELIABILITY OF ULTRA-THIN LOW-LOSS SYSTEM-ON-PACKAGE SUBSTRATES

THERMO-MECHANICAL RELIABILITY OF ULTRA-THIN LOW-LOSS SYSTEM-ON-PACKAGE SUBSTRATES THERMO-MECHANICAL RELIABILITY OF ULTRA-THIN LOW-LOSS SYSTEM-ON-PACKAGE SUBSTRATES A Thesis Presented to The Academic Faculty By GANESH KRISHNAN In Partial Fulfillment of the Requirements for the Degree

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength Key Engineering Materials Online: 5-11-15 ISSN: 1-9795, Vols. 97-3, pp 91-9 doi:1./www.scientific.net/kem.97-3.91 5 Trans Tech Publications, Switzerland Bonding Parameters of Anisotropic Conductive Adhesive

More information

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD White Paper Discussion on Cracking/Separation in Filled Vias By: Nathan Blattau, PhD Introduction The Knadle PTH life curve" has been used for over 15 years to characterize new materials or PTH structures,

More information

Bonding Technologies for 3D-Packaging

Bonding Technologies for 3D-Packaging Dresden University of Technology / Karsten Meier, Klaus-Juergen Wolter NanoZEIT seminar @ SEMICON Europa 2011 Dresden System integration by SoC or SiP solutions offer advantages regarding design efforts,

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

The Effects of Board Design on Electroplated Copper Filled Thermal Vias for Heat Management

The Effects of Board Design on Electroplated Copper Filled Thermal Vias for Heat Management The Effects of Board Design on Electroplated Copper Filled Thermal Vias for Heat Management Carmichael Gugliotti, Rich Bellemare MacDermid Enthone Electronics Solutions Waterbury, CT, USA Richard.bellemare@macdermidenthone.com

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

Embedded Passives..con0nued

Embedded Passives..con0nued Embedded Passives..con0nued Why Embedded Passives? Improves the packaging efficiency System-on-Package (SOP); SLIM integration Reducing size Eliminating substrate assembly Minimizing solder joint failure

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

AS MOORE predicted in 1965, silicon chips are getting

AS MOORE predicted in 1965, silicon chips are getting IEEE TRANSACTIONS ON ADVANCED PACKAGING 1 Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps Cheryl S. Selvanayagam, John H. Lau, Fellow,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE AUTHORS: B. VANDEVELDE, L. DEGRENDELE, M. CAUWE, B. ALLAERT, R. LAUWAERT, G. WILLEMS

More information

726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017

726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017 726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017 Board-Level Thermal Cycling and Drop-Test Reliability of Large, Ultrathin Glass BGA Packages for Smart

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

Accurate Predictions of Flip Chip BGA Warpage

Accurate Predictions of Flip Chip BGA Warpage Accurate Predictions of Flip Chip BGA Warpage Yuan Li Altera Corporation 11 Innovation Dr, M/S 422 San Jose, CA 95134 ysli@altera.com, (48)544-758 Abstract Organic flip chip BGA has been quickly adopted

More information

Mechanical Behavior of Flip Chip Packages under Thermal Loading

Mechanical Behavior of Flip Chip Packages under Thermal Loading Mechanical Behavior of Flip Packages under Thermal Loading *Shoulung Chen 1,2, C.Z. Tsai 1,3, Nicholas Kao 1,4, Enboa Wu 1 1 Institute of Applied Mechanics, National Taiwan University 2 Electronics Research

More information

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY Eric Schulte 1, Matthew Lueck 2, Alan Huffman 2, Chris Gregory 2, Keith Cooper 1, Dorota

More information

Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability

Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability Minapad 2014, May 21 22th, Grenoble; France Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability Eric Schulte 1, Keith Cooper 1 Matthew Lueck

More information

Optoelectronic Chip Assembly Process of Optical MCM

Optoelectronic Chip Assembly Process of Optical MCM 2017 IEEE 67th Electronic Components and Technology Conference Optoelectronic Chip Assembly Process of Optical MCM Masao Tokunari, Koji Masuda, Hsiang-Han Hsu, Takashi Hisada, Shigeru Nakagawa, Science

More information

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types T. Leneke and S. Hirsch TEPROSA Otto-von-Guericke University Magdeburg, Germany thomas.leneke@teprosa.de

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Developments in low-temperature metal-based packaging

Developments in low-temperature metal-based packaging Developments in low-temperature metal-based packaging 2011. 12.14 Jiyoung Chang and Liwei Lin Ph.D. Candidate, Department of Mechanical Engineering University of California at Berkeley 1 1 Contents Project

More information

IN ELECTRONIC packaging, materials with different coefficients

IN ELECTRONIC packaging, materials with different coefficients 850 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 4, DECEMBER 2006 Analysis of Multilayered Microelectronic Packaging Under Thermal Gradient Loading Cemal Basaran, Member, IEEE,

More information

Characterization of Coined Solder Bumps on PCB Pads

Characterization of Coined Solder Bumps on PCB Pads Characterization of Coined Solder Bumps on PCB Pads Jae-Woong Nah, Kyung W. Paik, Won-Hoe Kim*, and Ki-Rok Hur** Department of Materials Sci. & Eng., Korea Advanced Institute of Science and Technology

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information