Nanoimprint Lithography and Applications at Hewlett Packard Labs

Size: px
Start display at page:

Download "Nanoimprint Lithography and Applications at Hewlett Packard Labs"

Transcription

1 Nanoimprint Lithography and pplications at Hewlett Packard Labs Wei Wu Quantum Science Research dvanced Studies HP Labs, Hewlett-Packard

2 Outline Background Motivation Nanoimprint lithography at HP labs pplications based on nanofabrication Molecular memory and logic Nanowire biological sensor Nano-enhanced spectroscopy (unpublished) Future work Summary Feb. 15,

3 Quantum Science Research Part of dvanced studies, HP labs Duty: Exploring the next big thing for HP Built and directed by Dr. R. Stan Williams, HP Senior Fellow 30 people Feb. 15,

4 Nano is Great but New frontier of science o Fundamental knowledge o Convergence of physics, chemistry and biology. Potential commercial impact DN Carbon nanotubes Molecular electronics echnological challenge Lithography! Feb. 15,

5 Next generation lithography (NGL) tools: Extreme UV lithography (EUV) Extremely expensive (complex optical system, expensive and fragile mask) X-ray lithography Expensive light source (synchrotron preferred) Mask material E-beam direct write lithography (EBL) Extremely slow (serial process) E-beam projection lithography (EPL) Mask material Distortion due to heat Feb. 15,

6 Nanoimprint Lithography (NIL) 1. Imprint mold Press Mold resist substrate Remove Mold 2. Pattern ransfer RIE Chou, Krauss, and Renstrom, PL, Vol. 67, 3114 (1995); Science, Vol. 272, 85 (1996) Feb. 15,

7 Nanoimprint Lithography (NIL) High resolution -not limited by wavelength High throughput -parallel process Low cost 10 nm Chou, Krauss, and Renstrom, PL, Vol. 67, 3114 (1995); Science, Vol. 272, 85 (1996) Feb. 15,

8 Step & Flash Imprint UV curable process Room temperature Low pressure UV polymer is applied by droping M. Colburn,. Grot, G. Wilson s et al SPIE 2000 Feb. 15,

9 NIL is on IRS (international technology roadmap for semiconductors) We helped to put NIL on IRS * IRS 2003 update Feb. 15,

10 Major Players Princeton University ---- Nanonex University of axes at ustin ---- Molecular Imprints University of Michigan Hewlett-Packard Motorola Micro resist Europe: achen University. Lund University Obducat, EVG, SUSS Japan: Hitachi Feb. 15,

11 Crossbar Molecular Electronics by NIL

12 What is Next? ENIC - circa 1947 Shrink by 10 8 Improve power efficiency by 10 8 HP Pocket PC Feb. 15,

13 n rchitecture for Molecular Molectronics HPL eramc 1 Hz multi-architecture computer 10 6 gates operating at 10 6 cycle/sec Built from programmable gate arrays (FPG s) Computes with look-up tables Largest defect-tolerant computer 220,000 (3%) defective components circa 1995 Heath, Kuekes, Snider, Williams, Science 280, 1715 (1998) Feb. 15,

14 n rchitecture for Molecular Electronics microprocessor (intel P4) reconfigurable device (FPG) Feb. 15,

15 eramac Crossbar rchitecture Lookup tables ddress lines 0 Memory Data out Switch Data lines Feb. 15,

16 eramac Crossbar rchitecture Lookup tables ddress lines 1 Memory Data out Switch Data lines Feb. 15,

17 Nano-circuit Crossbar rchitecture Electrode s Molecule Memory 0 Switch Y. Chen, G.Y. Jung et al., Nanotech. 14, 462 (2003) eramac crossbar Feb. 15,

18 Crossbar Fabrication: Bottom Electrode Nanoimprint Feb. 15,

19 Crossbar Fabrication: Molecule Deposition H 3 C 26 Å O C OH Langmuir-Blodgett Stearic acid C 18 H 36 O 2 Feb. 15,

20 Crossbar Fabrication: op Electrode Nanoimprint Feb. 15,

21 Crossbar Fabrication: Etch Back Feb. 15,

22 64 bits Cross-bar Memory at 60 nm Half-pitch by hermal Nanoimprint Lithography R (10 9 ohm) H 2-H 3-H 4-H 5-H 6-H 7-H 8-H First working circuit fabricated using NIL First working Molecular memory circuit H P i n v e n t Y. Chen, G.Y. Jung et al., Nanotech. 14, 462 (2003) Feb. 15,

23 34X34 Cross-bar Structure at 50 nm Half-pitch by UV-curable NIL with Dropping Resist G.Y. Jung, S. Ganapathiappan et al. Nano Letter 4 (2004), Feb. 15,

24 Next Goal: 30nm Half-pitch Challenges: Resist stick to the mold Mechanical properties and lift-off properties have to be comprimised Rabbit ears problem Feb. 15,

25 Rabbit ears Problem Metal Deposition Undesirable metal rabbit ears Feb. 15,

26 UV-curable NIL with Double-layer Spin-on Resist 1. Prepare substrate, spin under layer and resist (Nanonex NXR 2010) on 4. Mold and substrate separation 2. lignment UV 5. Residue layer and under layer etching 3. Press and exposure 6. Metal evaporation and lift-off W. Wu, H. Ge, S.Y. Chou et al., EIPBN 2004 Feb. 15,

27 Imprinted 30 nm Half-pitch Nanowires on NIL Resist Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 27

28 Imprinted 30 nm Half-pitch Nanowires Etched into Under-layer Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 28

29 i/pt Nanowires at 30 nm Half-pitch Fabricated Using NIL and Lift-off Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 29

30 Rabbit Ears Solved with Controlled Under-cut Metal Resist Under layer Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 30

31 30 nm Half-pitch 34X34 Crossbar Molecular Memory Fabricated using NIL and Lift-off Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 31

32 30 nm Half-pitch 34X34 Crossbar Molecular Memory Fabricated using NIL and Lift-off Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 32

33 30 nm Half-pitch 34X34 Crossbar Molecular Memory Fabricated using NIL and Lift-off Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 33

34 30 nm Half-pitch 34X34 Crossbar Molecular Memory Fabricated using NIL and Lift-off Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 34

35 30 nm Half-pitch 34X34 Crossbar Molecular Memory Fabricated using NIL and Lift-off 60 nm Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 35

36 1X17 esting Devices rray 40 nm Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 36

37 I-V Curve of Single Device Feb. 15,

38 rray Characterization Setup Vread Read 0V 0V 0V Feb. 15,

39 rray Characterization Setup Program Vprogram or Iprogram 0V Vprogram/2 Vprogram/2 Write with current Erase with voltage Feb. 15,

40 Read, Write and Erase of 1X17 rray 1x17 rray Read Current at 0.5V 1.6E E E out of 17 electrodes good 13/15 devices switch (> 10) 1 minimal (~3x) ll 15 can be erased 0 short 1.0E E-08 Read Current [] x Location Native Written 2u Written 3u Written 5u Erased 1.5V Written 5u 6.0E-08 Native 4.0E-08 Written 2u 2.0E-08 Written 3u Written 5u 0.0E+00 Erased 1.5V Written 5u State Feb. 15, 2005 W. Wu, G-Y Jung, SY Wang, R. S. Williams et al., pplied Physics in press 40

41 Programming Initial state of a subarray fter writing into whole subarray Subarray Initial State fter 2u write into whole subarray -4.00E-10 0.E E-10 1.E-10 Read current [] -3.00E E E E E E E+00 c6 c7 c8 r4 r5 r6 r4 r5 r6 Read current [] 2.E-10 3.E-10 4.E-10 5.E-10 6.E-10 7.E-10 8.E-10 c6 c7 c8 r6 r5 r4 r4 r5 r6 Feb. 15,

42 Crosspoint diode logic array: half-adder DEMUX U V W X Y Z B C D DEMUX E F Y = (U ND V) OR (W ND X) Z = V+ C = V- Feb. 15,

43 Nanowire Biological Sensor

44 Sensor chip structure Zhiyong Li, Yong Chen, Xuema Li, ed Kamins, and Stan Williams Nano Letters, 4(2004), 245 Feb. 15,

45 Covalent attachment of ss-dn on SiNW HS OH OH OH C CC O O P O - O Si MeO OMe OMe NH O HS HS HS S 1.5nm Si Si O O Si O O O O N H O O P O - O CC C HS Si HS Si Si O O O O O Zhiyong Li, Yong Chen, Xuema Li, ed Kamins, and Stan Williams Nano Letters, 4(2004), 245 Feb. 15,

46 DN sensing I I I C C C SiNW C C C p-sinw C C C n-sinw nc-ss-dn C C G G G c-ss-dn G G G c-ss-dn G G G I C C C SiNW I C C C I 25 pm Control p-sinw n-sinw Zhiyong Li, Yong Chen, Xuema Li, ed Kamins, and Stan Williams Nano Letters, 4(2004), 245 Feb. 15, G G G C C C G G G

47 Size matters di/i 0 vs aspect ratio of wires w h w+2h spect ratio = wxh unit: nm -1 Z. Li, X. Li and R. S. Williams et al. pplied physics in press Feb. 15,

48 Future Work: Next Generation Nanoimprint Machine Challenges of NIL: Yield (It will be improved over time.) lignment accuracy is currently 10x worse than resolution -lignment must be achieved without high cost nswer: Next generation nanoimprint machine Feb. 15,

49 Nano Displacement Sensing & Estimation (NDSE) echnology (HL/ Precision Imaging Program) Used in HP large format printers otal cost of optics: $60 1/50 pixel size displacement detection J. Gao, C. Picciotto, E. Hoarau, W. Jackson and W. Wu NN 2004 and pplied physics in press Feb. 15,

50 5 nm Displacement sensing using NDSE J. Gao, C. Picciotto, E. Hoarau, W. Jackson and W. Wu NN 2004 and pplied physics in press Feb. 15,

51 Next Generation Nanoimprint Machine Nanoimprint Feb. 15,

52 Summary HP is one of the earliest company/university to investigate NIL. QSR of HP labs is the first group made working circuit using NIL. Crossbar molecular memory with record density of 28 Gb/cm 2 (30 nm half pitch) were fabricated using NIL. NIL is capable of making real applications. hree generations of nanoimprinters were built by QSR. Fast and low-cost mold duplication process was developed to lower the cost of NIL further. Next generation nanoimprinter will integrate NIL and HP s precision imaging technology. Feb. 15,

53 cknowledgement My colleagues and collaborators Supported in part by DRP IEEE SF Bay rea Nanotechnology Council You Feb. 15,

54 Feb. 15,

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography Appl. Phys. A 80, 1173 1178 (2005) DOI: 10.1007/s00339-004-3176-y Applied Physics A Materials Science & Processing w. wu 1, g.-y. jung 1 d.l. olynick 2 j. straznicky 1 z. li 1 x. li 1 d.a.a. ohlberg 1

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing

Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing Appl. Phys. A 81, 1331 1335 (2005) DOI: 10.1007/s00339-005-3313-2 g.y. jung 1 w. wu 1 s. ganapathiappan 1 d.a.a. ohlberg 1 m. saif islam 2 x. li 1 d.l. olynick 3 h. lee 4 y. chen 5 s.y. wang 1 w.m. tong

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography Fabrication of a 34 34 Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography NANO LETTERS xxxx Vol. 0, No. 0 A-E G. Y. Jung, S. Ganapathiappan, Douglas A. A. Ohlberg, Deirdre L. Olynick,

More information

Nano-Scale Manufacturing:

Nano-Scale Manufacturing: The Second U.S.-Korea Forum on Nanotechnology: Nanomanufacturing Research and Education Nano-Scale Manufacturing: Top-down, Bottom-up and System Engineering Cheng Sun, Xiang Zhang Center of Scalable and

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Micro & nanofabrica,on

Micro & nanofabrica,on Micro & nanofabrica,on Photolitography : - contact - projec,on Electron Beam lithography (EBL) Nano imprint lithography Etching Contact Photolithography Substrate (e.g. Silicon wafer) Photoresist spinning

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Choi, Jun-Hyuk Korea Institute of Machinery & Materials The 11 th US-Korea Nanosymposium Choi, Jun-Hyuk 2014. 09. 29 Korea Institute of Machinery & Materials About KIMM Nano-research Bldg Clean RM Five Research Divisions; 1. Advanced Manufacturing Sys. 2. Extreme

More information

NANO-FABRICATION FOR MESOSCOPIC PHYSICS

NANO-FABRICATION FOR MESOSCOPIC PHYSICS NANO-FABRICATION FOR MESOSCOPIC PHYSICS Frédéric Pierre CNRS, Laboratory of Photonics and Nanostructures (LPN), Marcoussis, France ϕ Nano Team LPN PLAN Overview Electron beam lithography Step by step realization

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Center for Integrated Nanotechnologies & Semiconducting Nanowires

Center for Integrated Nanotechnologies & Semiconducting Nanowires Center for Integrated Nanotechnologies & Semiconducting Nanowires S. Tom Picraux Chief Scientist Center for Integrated Nanotechnologies picraux@lanl.gov Arizona Nanotechnology: Small is Big April 10, 2008

More information

Nanotechnology makes brighter LED s. Michael P.C. Watts

Nanotechnology makes brighter LED s. Michael P.C. Watts Nanotechnology makes brighter LED s Michael P.C. Watts www.impattern.com Outline Why are LED s such a big deal? Brightness; lumens per watt & lumens per dollar Applications How does nanotechnology help?

More information

Nano-imprinting Lithography Technology І

Nano-imprinting Lithography Technology І Nano-imprinting Lithography Technology І Agenda Limitation of photolithograph - Remind of photolithography technology - What is diffraction - Diffraction limit Concept of nano-imprinting lithography Basic

More information

Applications of Nano Patterning Process 1. Patterned Media

Applications of Nano Patterning Process 1. Patterned Media Applications of Nano Patterning Process 1. Patterned Media Contents Introduction Part. 1. Nanoimprinting on Glass Substrate for Patterned Media Part. 2. Nanoinjection Molding of Nanopillars for Patterned

More information

Proton beam fabrication of nickel stamps for nanoimprint lithography

Proton beam fabrication of nickel stamps for nanoimprint lithography Nuclear Instruments and Methods in Physics Research B 231 (2005) 407 412 www.elsevier.com/locate/nimb Proton beam fabrication of nickel stamps for nanoimprint lithography K. Ansari *, P.G. Shao, J.A. van

More information

Roll-to-Roll Nanoimprint - 6 회 -

Roll-to-Roll Nanoimprint - 6 회 - Roll-to-Roll Nanoimprint - 6 회 - 목차 Click to add Title 1 Roll to Roll nanoimprint Introduction : Continuous Production System (Roll-to-Roll) Fabrication Processes Fabrication of nano roll mold 2 Case study

More information

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER Chapter 7 66 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER 7.1 Introduction In recent years, polymer dye lasers have attracted much attention due to their low-cost processing, wide choice

More information

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Contents. From microelectronics down to nanotechnology

Contents. From microelectronics down to nanotechnology Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Center for Scalable and Integrated NanoManufacturing

Center for Scalable and Integrated NanoManufacturing Nanomanufacturing Summit 2009 Center for Scalable and Integrated NanoManufacturing Li Zeng Berkeley, CA Boston, MA May 27-29, 2009 UC Berkeley UCLA Stanford MIT UNCC HP Labs NSF Nanoscale Science and Engineering

More information

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

Development of Imprint Materials for the Step and Flash Imprint Lithography Process

Development of Imprint Materials for the Step and Flash Imprint Lithography Process Development of Imprint Materials for the Step and Flash Imprint Lithography Process Frank Xu a, Nick Stacey b, Michael Watts a, Van Truskett a, Ian McMackin a, Jin Choi a, Philip Schumaker a, Ecron Thompson

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

FY06 ACCOMPLISHMENTS. Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography

FY06 ACCOMPLISHMENTS. Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography FY06 ACCOMPLISHMENTS Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography William P. King Georiga Institute of Technology FY06 was the second year of this grant, and

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution

Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution NANO LETTERS 2009 Vol. 9, No. 6 2306-2310 Zhiwei Li, Yanni Gu, Lei Wang, Haixiong Ge,*, Wei Wu,*, Qiangfei Xia, Changsheng Yuan, Yanfeng Chen,

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Innovative Roll-to-Roll Equipment & Material Development Suite

Innovative Roll-to-Roll Equipment & Material Development Suite Innovative Roll-to-Roll Equipment & Material Development Suite For Next Generation Technology from Carpe Diem Technologies and the University of Massachusetts Amherst By John Berg, Dimitur Benchev, James

More information

A Review on CMOL Cell Assignment Problem

A Review on CMOL Cell Assignment Problem A Review on CMOL Cell Assignment Problem Tanvi Vaidya 1, Er. Abhijeet Kumar 2 1 M.Tech (regular), M. M. Engg. College Mullana (Ambala) 2 Lecturer ECE Deptt. M.M.Engg.College Mullana (Ambala) Abstract -

More information

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment Paper: A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment Jian-Shian Lin Λ;ΛΛ, Chieh-Lung Lai Λ, Ya-Chun Tu Λ, Cheng-Hua Wu Λ, and Yoshimi Takeuchi ΛΛ Λ Mechanical and System Research

More information

Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules

Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules Swapnajit Chakravarty 1, Wei-Cheng Lai 2, Kathryn Moncivais 3, Xiaolong (Alan) Wang 1, Che-Yun Lin 2, Zhiwen J. Zhang

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss SCIENCE CHINA Technological Sciences RESEARCH PAPER March 2012 Vol.55 No.3: 600 605 doi: 10.1007/s11431-011-4695-3 Replication of large area nanoimprint stamp with small critical dimension loss MENG FanTao

More information

Making of a Chip Illustrations

Making of a Chip Illustrations Making of a Chip Illustrations 22nm 3D/Trigate Transistors Version April 2015 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual

More information

纳米压印技术最新进展. Obducat Technologies AB., Sweden Gang Luo,

纳米压印技术最新进展. Obducat Technologies AB., Sweden Gang Luo, 纳米压印技术最新进展 Obducat Technologies AB., Sweden Gang Luo, 20170711 History 2016 Launch of SINDRE Large Area 2015 Acquire Solarsemi Gmbh 2015 Awarded by NNT for Pioneering NIL into production 2014 Launch and

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

National Nanotechnology Infrastructure Network Vol.3 # 1. A Periodic Newsletter of NNIN News and Announcements NNIN. New Equipment and Processes

National Nanotechnology Infrastructure Network Vol.3 # 1. A Periodic Newsletter of NNIN News and Announcements NNIN. New Equipment and Processes National Nanotechnology Infrastructure Network Vol.3 # 1 A Periodic Newsletter of NNIN News and Announcements Feb. 2007 NNIN The National Nanotechnology Infrastructure Network consists of 13 nanotechnology

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Three-dimensional SU-8 structures by reversal UV imprint

Three-dimensional SU-8 structures by reversal UV imprint Three-dimensional SU-8 structures by reversal UV imprint W. Hu, a B. Yang, C. Peng, and S. W. Pang b Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University

More information

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab. Hanyang University Soft Lithography Jin-Goo Park Materials and Chemical Engineering Hanyang University, Ansan Electronic Materials and Processing Lab. Introduction to Soft Lithography Research Micro- Electro-

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

W Metallization in a 3-D Memory

W Metallization in a 3-D Memory W Metallization in a 3-D Memory December 8, 2005 Michael Konevecki, Usha Raghuram, Victoria Eckert, Vance Dunton, Brad Herner & Steve Radigan 3-D Memory Cells Matrix memory cells consist of a memory element

More information

Step and Flash Imprint Lithography for sub-100nm Patterning

Step and Flash Imprint Lithography for sub-100nm Patterning Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information

Applications of LIGA on Micro-punching Process for Metallic Materials

Applications of LIGA on Micro-punching Process for Metallic Materials Materials Science Forum Vols. 505-507 (2006) pp. 55-60 online at http://www.scientific.net (2006) Trans Tech Publications, Switzerland Applications of LIGA on Micro-punching Process for Metallic Materials

More information

MANUFACTURING OF AN OPTICAL QUALITY MIRROR SYSTEM FOR ADAPTIVE OPTICS

MANUFACTURING OF AN OPTICAL QUALITY MIRROR SYSTEM FOR ADAPTIVE OPTICS Invited Paper MNUFCTURING OF N OPTICL QULITY MIRROR SYSTEM FOR DPTIVE OPTICS Julie. Perreaulta, Paul. Bierden', Mark N. Horensteina, and Thomas G. Bifanoc aelectrical and Computer Engineering, Boston University,

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

Fabrication characteristics of a line-and-space pattern and a dot pattern on a roll mold by using electron-beam lithography

Fabrication characteristics of a line-and-space pattern and a dot pattern on a roll mold by using electron-beam lithography 0123456789 Bulletin of the JSME Journal of Advanced Mechanical Design, Systems, and Manufacturing Vol.10, No.5, 2016 Fabrication characteristics of a line-and-space pattern and a dot pattern on a roll

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,100 116,000 120M Open access books available International authors and editors Downloads Our

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA Ústav přístrojové techniky AV ČR, v. v. i., Královopolská 147,

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

Introduction to Micro and Nanotechnologies

Introduction to Micro and Nanotechnologies Micro & Nanobioengineering Lab Biomedical Engineering Department McGill University Introduction to Micro and Nanotechnologies David Juncker david.juncker@mcgill.ca www.bmed.mcgill.ca/nanomed McGill, Nov

More information

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013 1 Chapter 1 The Crystal Structure of Solids Physical Electronics: Includes aspects of the physics of electron movement

More information

Design, Fabrication, Optimization and Verification of FePt based Bit Patterned Media

Design, Fabrication, Optimization and Verification of FePt based Bit Patterned Media Design, Fabrication, Optimization and Verification of FePt based Bit Patterned Media Jian-Ping Wang, Professor MINT Center & Electrical and Computer Engineering Department, Graduate Faculty of Chemical

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

In operandi observation of dynamic annealing: a case. Supplementary Material

In operandi observation of dynamic annealing: a case. Supplementary Material In operandi observation of dynamic annealing: a case study of boron in germanium nanowire devices Supplementary Material Maria M. Koleśnik-Gray, 1,3,4 Christian Sorger, 1 Subhajit Biswas, 2,3 Justin D.

More information

Nano-imprint Technology on LED Applications

Nano-imprint Technology on LED Applications Nano-imprint Technology on LED Applications Dr. Sean Lin September 4, 2013 Outline Background Introduction Industry Updates on Nanoimprint Technology (NIT) Photonic Crystal (PhC) LEDs by NIT Other Work

More information

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma Supporting Information Wafer-scale high-resolution patterning of reduced graphene oxide films for detection of low concentration biomarkers in plasma Jinsik Kim a, Myung-Sic Chae a, Sung Min Lee b, Dahye

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne Electron Beam Lithography - key enabling technology in nanofabrication Frank Dirne Moore s Law (x2/2 yr) Moore s Law EBL Electron Beam Lithography - key enabling technology in nanofabrication - Principles

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

High Aspect Ratio Silicon Wire Array Photoelectrochemical Cells

High Aspect Ratio Silicon Wire Array Photoelectrochemical Cells S1 Supporting Information High Aspect Ratio Silicon Wire Array Photoelectrochemical Cells James R. Maiolo III, Brendan M. Kayes, Michael A. Filler, Morgan C. Putnam, Michael D. Kelzenberg, Harry A. Atwater*,

More information

Project Report. 1. Work progress and achievements during the project. Project: (Soft-COM: FP7-PEOPLE-2011-IEF)

Project Report. 1. Work progress and achievements during the project. Project: (Soft-COM: FP7-PEOPLE-2011-IEF) Project Report Project: 301028 (Soft-COM: FP7-PEOPLE-2011-IEF) Research Fellow: Shunpu Li Scientist in Charge: Daping Chu The fellow and scientist in charge are very grateful for the support. The outcomes

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however: MOS Transistors Readings: Chapter 1 N-type drain conductor - gate insulator source gate drain source n p n substrate P-type drain conductor - gate insulator source drain gate source p p substrate n 42

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Study of the resist deformation in nanoimprint lithography

Study of the resist deformation in nanoimprint lithography Study of the resist deformation in nanoimprint lithography Yoshihiko Hirai, a) Masaki Fujiwara, Takahiro Okuno, and Yoshio Tanaka Department of Mechanical System Engineering, Graduate School of Engineering,

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

The Joint School of Nanoscience and Nanoengineering

The Joint School of Nanoscience and Nanoengineering The Joint School of Nanoscience and Nanoengineering James G. Ryan, Ph.D. Founding Dean Joint School of Nanoscience and Nanoengineering North Carolina A&T State University and the University of North Carolina

More information

Nanotechnology Commercialization Success Story Professor Ray T. Chen The University of Texas, Austin

Nanotechnology Commercialization Success Story Professor Ray T. Chen The University of Texas, Austin Nanotechnology Commercialization Success Story Professor Ray T. Chen The University of Texas, Austin chen@ece.utexas.edu Description of the nanotechnology-enabled product or service Through the AFOSR MURI

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Study on microprobe processing by LIGA on Si

Study on microprobe processing by LIGA on Si Study on microprobe processing by LIGA on Si Fundamental study for 3-D 3 D mold -Report 1-1 Teppei Kimura, JEM Japan (Japan Electronic Materials Corp.) Tadashi Hattori Himeji Institute of Technology in

More information

Nano Computers through Nanotechnology

Nano Computers through Nanotechnology International Journal of Education and Science Research Review E-ISSN 2348-6457 Volume-2, Issue-1 February- 2015 P-ISSN 2348-1817 Nano Computers through Nanotechnology Laith R.Fleih, Taghreed M. Younis

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

UV-LED Curing for Industrial Printing

UV-LED Curing for Industrial Printing UV-LED Curing for Industrial Printing (March 2012) posted on Tue Apr 24, 2012 UV-LED curing units have become more efficient in delivering higher energy to the By Richa Anand UV-LED curing refers to a

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Nanotechnology Principles, Applications, Careers, and Education. Copyright 2011 The Pennsylvania State University

Nanotechnology Principles, Applications, Careers, and Education. Copyright 2011 The Pennsylvania State University Nanotechnology Principles, Applications, Careers, and Education Copyright 2011 The Pennsylvania State University Outline What are the principles of nanotechnology? What are some applications? What kind

More information