Application of SEERS to real time Plasma Monitoring in Production at different FABs

Size: px
Start display at page:

Download "Application of SEERS to real time Plasma Monitoring in Production at different FABs"

Transcription

1 AECAPC SYMPOSIUM 21, BANFF Application of SEERS to real time Plasma Monitoring in Production at different FABs Volker Tegeder

2 Sensor Evaluation Calculation of expected Economical Benefit Automatic link to logistical Data Partnership Sensor Supplier, Tool Supplier, Manufacturer Analyze Data and react on conspicuous Data Merge Sensor Data to FDC System Requirements for successful integration of sensors into manufacturing Lessons Learned

3 European SEA IST-Project: APC3 Poly Plasma PlasmaProcess ProcessControl Control Oxide Metal Dresden Dresden HERCULES/APC HERCULES/APC(Plasma-Parameter) (Plasma-Parameter) APC NET (Tool-Parameter) APC NET (Tool-Parameter) Test-Data Test-Data Wafer-Yield Data Database base Correlation between yield and plasma CoO, OEE Rousset Regensburg Start: February 1st, 2 End: October 31st, 21 Participants and Timeline Berlin

4 Calculation of expected Economical Benefit

5 Calculation of expected Economical Benefit Project Planning HW System Set Up Resources allocated to project => Calculation of Benefit first! Involvement of: Process Integration, Maintenance, IT, Product Characterization, Controlling, Process Dep. Impact of Benefit Estimation

6 Calculation of expected Economical Benefit Identify Improvement for Manufacturing List Preconditions and Requirements Correlate Improvement to Economical Benefit Generate Benefit Equations for Verification Collect Data for Calculation (pre/post) System Set Up to Receive the Benefit Calculation Steps

7 Automatic Link to logistical Data

8 Automatic Link to logistical Data Analysis correlate sensor data to in line data yield data tool data benefit calculation Adjustment of settings for sensor alarm (FDC) Logistical Data linked to Sensor Data

9 Partnership: Sensor Supplier, Tool Supplier, Manufacturer

10 Partnership: Sensor Supplier, Tool Supplier and Manufacturer New Platen improves Signal to Noise Ratio Sensor Signal weak because of Liner Regensburg

11 Partnership: Sensor Supplier, Tool Supplier and Manufacturer Sensor Data available at the Tool Synchronized to Tool Data On-line at the Tool Easy to analyse User FDC Fab-Host LAM Domino Collision Rate Internal Network Hercules TCPRFGen ForwardPower BiasRFGen ForwardPower Chamber Universal Plug and Play Interface merging Tool and external Sensor Data Independent, Ethernet based Network (1 Mbit / 1 Mbit) Automatic Sensor Recognition Providing Sensor with logistical Data LAM Plug and Play Interface

12 Analyze & React

13 Analyze & React 1 Electron density [16 x 1/cm3] fre e m as te r/slave Time [s] Strong Fluctuations detected for new Tool - Root Cause: missing Phase Coupling Regensburg

14 Analyze & React collision rate [mean], step 2 Collision Rate 1,8 1,192 1,376 1,56 1,744 1,928 2,112 2,296 2,48 2,664 above CD CD 392, , ,199 41,13 43,828 46,643 49, , ,87 417,91 above Gate Etch DOE Results: Pressure, RF Top/Bottom and O2/Cl2 Variation Rousset

15 optical Endpoint Analyze & React Gas flow changes watt 3 watt TCPRFGenForwardPower_AI 4 4 BiasRFGenForwardPower_AI Electron Density [11 s -1 ] Collision Rate [18 s -1 ] Gas flow changes sec Sensor and Tool Data at LAM Domino 12

16 Analyze & React Hercules Endpoint (AMAT 368,5 nm) Collision Rate [16 x s-1] Intensity EP Time [s] Oxide Process (one Recipe step), Overetch identified by collision rate. SEERS Fingerprint and optical EP

17 Analyze & React 6 35 SiO2 etch recipepara meter change by 2 % Mean Coll. Rate -He-O2 Reference_2 -He-BSC -T_Wall +T_Wall -T_Cathode +T_Cathode -T_Wall -He-O2 +T_Wall +He-O2 -CF4 +CF4 -He-BSC -Mfield Mean / depth +He-BSC +Mfield -RFPower -Pressure +RFPower +Pressure -HBr1 -CL2 +HBr1-35 +CL2 -HBr2-25 +HBr2 1 Uniformity Change % 15 Etch Rate Change % 25 4 Reference_1 Collision Rate [1 8 s -1] 5 std DEV / depth Etch Uniformity Change indicated by collision rate Similar Results for Si Etch at MxP but lower Sensitivity For Poly Etch at DPS not sensitive enough to detect Errors Dresden

18 Merge Sensor Data to FDC System

19 Merge Sensor Data to FDC System 5 Oxide Process 4,5 Electrical Parameter ~ Yield 4 Collision Rate [17s-1] 3,5 3 2,5 2 1,5 1, Time [s] Zero Yield Wafer detected

20 Merge Sensor Data to FDC System Strong Disturbances at one Poly Process Regensburg Process for new Product with bigger open Area was unstable Improvement of the Process by changing the Recipe (Pressure) since 7/19 verified by Collision Rate Stabilization of Process verified

21 Merge Sensor Data to FDC System 2 Sensor data Change in Chamber Pressure impacts the Collision Rate Collision Rate [16 s-1] Process Process Etch Time [s] Pressure 1 18 Pressure 2 Tool data 12 6 Throttle Valve Position Pressure [mtorr] Process Time [s] 8 Tool Error identified by Collision Rate 1

22 Merge Sensor Data to FDC System Slot Slot Aver. Coll. Rate [16 s-1] Collision Rate of indicated 15 wafers Wafermap: Excursion Pattern Slot 6 1 Slot 1 5 Slot Run Root Cause: Mass Flow Controller Malfunction Test Pattern identified at Process Level

23 Co-Authors Daniel Zschäbitz Mark Laqua Enrico Höfer Klaus Reingruber Klaus Behrendt Sven Mueller Robert Ronchi Baudouin Lecohier Julien Pasquet University of Dresden University of Dresden University of Applied Sciences Dresden Regensburg Regensburg AMD Saxony ST Microelectronics ST Microelectronics ST Microelectronics Further Reading: Characterization and Control..., Volker Tegeder et. al. European AECAPC Workshop 2 Supervision of Plasma Etch Processes..., Volker Tegeder et. al. AECAPC Symposium XII 2 The tremendous impact of APC for plasma etch, Volker Tegeder, Robert Ronchi, Sven Mueller, Solid State Technology 21 in press Acknowledgement: The presented work is funded by the European IST program on the SEA-Initiative

Strategy and Roadmap. Dr. Michael Klick. 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany

Strategy and Roadmap. Dr. Michael Klick. 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany Strategy and Roadmap Dr. Michael Klick 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany Plasmetrex Business Segments Plasma metrology equipment for

More information

Usage of Hercules inside. Dennis Föh Advanced Process Control Micronas Freiburg. commercial FDC System Maestria

Usage of Hercules inside. Dennis Föh Advanced Process Control Micronas Freiburg. commercial FDC System Maestria Usage of Hercules inside Dennis Föh Advanced Process Control Micronas Freiburg commercial FDC System Maestria Why are Plasma Parameters ideal for FDC in Etch? Electron Density and Collision Rate are sensitive

More information

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform AEC/APC Asia 2005 Bernard KAPLAN, Eric BLUEM (HORIBA Jobin

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Dennis Föh Frontend Technology Micronas Freiburg, Germany. Process Stability Analysis on a Lam TCP 9400 PTX by HERCULES (SEERS)

Dennis Föh Frontend Technology Micronas Freiburg, Germany. Process Stability Analysis on a Lam TCP 9400 PTX by HERCULES (SEERS) Dennis Föh Frontend Technology Micronas Freiburg, Germany Process Stability Analysis on a Lam TCP 9400 PTX by HERCULES (SEERS) 13.4.2004 D.Föh 2 Silicon for the Senses A leading independent supplier of

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Arcing prevention by dry clean optimization using plasma parameter monitoring

Arcing prevention by dry clean optimization using plasma parameter monitoring Arcing prevention by dry clean optimization using plasma parameter monitoring Das diesem Bericht zugrundeliegende Vorhaben wurde mit Mitteln des Sächsischen Staatsministeriums für Wirtschaft und Arbeit

More information

Process Stability in Photo Mask Manufacturing

Process Stability in Photo Mask Manufacturing Process Stability in Photo Mask Manufacturing Authors: Martin Bäßler 1, Haiko Rolff 1, A. Lajn 1, Michael Klick 2, Ralf Rothe 2 Affiliation: 1) Advanced Mask Technology Center GmbH & Co. KG 2) Plasmetrex

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

Solutions for Agile Semiconductor Manufacturing. Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009

Solutions for Agile Semiconductor Manufacturing. Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009 Solutions for Agile Semiconductor Manufacturing Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009 What Is Agile Semiconductor Manufacturing? Agile (dictionary) 1: marked by ready

More information

EV-140 P, New Emission Spectroscopic Product for Semiconductor Endpoint, Cleaning and Plasma Chambers Control.

EV-140 P, New Emission Spectroscopic Product for Semiconductor Endpoint, Cleaning and Plasma Chambers Control. F e a t u r e A r t i c l e Feature Article EV-140 P, New Emission Spectroscopic Product for Semiconductor Endpoint, Cleaning and Plasma Chambers Control. Eric BLUEM, Jean-Philippe VASSILAKIS, Mickael

More information

High-accuracy Etching System with Active APC Capability

High-accuracy Etching System with Active APC Capability High-accuracy Etching System with Active APC Capability 32 High-accuracy Etching System with Active APC Capability Shoji Ikuhara Akira Kagoshima Daisuke Shiraishi Junichi Tanaka OVERVIEW: Accompanying

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

The Role of Models in Semiconductor Smart Manufacturing

The Role of Models in Semiconductor Smart Manufacturing The Role of Models in Semiconductor Smart Manufacturing 28 th Advanced Process Control Conference Mesa, Arizona October 17-20, 2016 Alan Weber Cimetrix Incorporated Outline What is Smart Manufacturing?

More information

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term

More information

Energy and resource savings in Subfab

Energy and resource savings in Subfab Energy and resource savings in Subfab Andreas Neuber, Kent Lee Hsinchu, Dec. 4, 2015 Content ITRS benchmarks Energy consumption Focus areas Subfab Best Practices Future Outlook 2 ITRS Facilities Technology

More information

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Kirk Hasserjian Corporate Vice President Applied Global Services Applied Materials November 13, 2018 SEMICON Europa 2018 Smart

More information

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

(12) United States Patent (10) Patent No.: US 6,461,974 B1

(12) United States Patent (10) Patent No.: US 6,461,974 B1 USOO6461974B1 (12) United States Patent (10) Patent No.: US 6,461,974 B1 Ni et al. (45) Date of Patent: Oct. 8, 2002 (54) HIGH TEMPERATURE TUNGSTEN 5,259,923 A 11/1993 Hori et al.... 216/66 ETCHING PROCESS

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES Joseph W. Wisemari Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A silicon trench

More information

Kim-Marie Levis. S. B., Materials Science and Engineering (1998)

Kim-Marie Levis. S. B., Materials Science and Engineering (1998) Screening Experiment for a Polysilicon Gate Etch Chamber by Kim-Marie Levis S. B., Materials Science and Engineering (1998) Massachusetts Institute of Technology Submitted to the Department of Materials

More information

General e-manufacturing Requirements and first Interface A Experiences

General e-manufacturing Requirements and first Interface A Experiences General e-manufacturing Requirements and first Interface A Experiences e-manufacturing Workshop San Francisco July 14, 2006 Ralf Georgi Automation Capabilities Management e-manufacturing Areas GEM 300

More information

Realizing Smart Manufacturing in Semiconductor Industry with SEMI Standards Alan Weber

Realizing Smart Manufacturing in Semiconductor Industry with SEMI Standards Alan Weber Realizing Smart Manufacturing in Semiconductor Industry with SEMI Standards Alan Weber Vice President, New Product Innovations, Cimetrix Incorporated Realizing Smart Manufacturing in Semiconductor Industry

More information

GaN on Si Manufacturing Excellency in CMOS Foundry Fab

GaN on Si Manufacturing Excellency in CMOS Foundry Fab GaN on Si Manufacturing Excellency in CMOS Foundry Fab Paul Chu Oct. 2016 Agenda 1. GaN Device Offering 2. GaN Production 3. GaN MoCVD Manufacturability 4. Yield Improvement 5. Summary 2 l GaN superiority

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Advanced Automation based on Standards

Advanced Automation based on Standards Advanced Automation based on Standards How other industries can profit from automation concepts in semiconductor manufacturing 14 th AIS User Conference Dresden June 26 th, 2014 Dr. Martin Schellenberger

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corporation 2012 Analyst & Investor Meeting 1 Safe Harbor Statement This presentation contains certain forward looking statements, including, our ability to execute our growth strategies,

More information

EEC Phase 2.5 Guideline Rollout e- Manufacturing Workshop December 3, 2002

EEC Phase 2.5 Guideline Rollout e- Manufacturing Workshop December 3, 2002 EEC Phase 2.5 Guideline Rollout e- Manufacturing Workshop December 3, 2002 Kinji Mokuya / Selete (mokuya@selete.co.jp) Karl Gartland / IBM (kgartlan@us.ibm.com) e-manufacturing Workshop Mokuya/Gartland

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

EEC Phase 2.5 Guideline Rollout e-diagnostics / EEC Workshop July 26, 2002

EEC Phase 2.5 Guideline Rollout e-diagnostics / EEC Workshop July 26, 2002 EEC Phase 2.5 Guideline Rollout e-diagnostics / EEC Workshop July 26, 2002 Jeff Toth (jeff.toth @amd.com) Kinji Mokuya (mokuya@selete.co.jp) e-diagnostics / EEC Workshop Toth/Mokuya Slide 1 Presentation

More information

Introduction of Plasma Indicator "PLAZMARK "

Introduction of Plasma Indicator PLAZMARK SEMICON TAIWAN 2017 Introduction of Plasma Indicator "PLAZMARK " Thursday, September 14, 2017 Plasma Indicator Division SEISAKU OHSHIRO 1 Concept of Plasma Indicator An Evaluation tool made from functional

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Head Fab Environmental Solutions, Applied Materials AGS/EPG/FES Executive summary Today, carbon footprint reduction

More information

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Keith R. Miller Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract- Optical Emission Spectroscopy

More information

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000 USOO6107172A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000 54 CONTROLLED LINEWIDTH REDUCTION DURING GATE PATTERN FORMATION 5,854,132 12/1998 Pramanicket

More information

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650/731 Projects for 2004-2005 Jeremiah Hebding Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester,

More information

Semiconductor Components, Instruments and Subsystems (SCIS)

Semiconductor Components, Instruments and Subsystems (SCIS) Semiconductor Components, Instruments and Subsystems (SCIS) Introduction to the SCIS SIG March 2016 Special Interest Group (SIG) High Volume Manufacturing at Advanced Process Nodes will be Gated by Equipment

More information

MRC Technology System Overview Equipment Details Process Performance Summary

MRC Technology System Overview Equipment Details Process Performance Summary MRC Technology System Overview Equipment Details Process Performance Summary Interconnects Ti/TiN/Al/TiN Hot Al for Via Fill Barriers TiN and TiON TiW and TiWN Silicides Ti, Co, Pt Resistors and Conductors

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Parameters Study to Improve Sidewall Roughness in Advanced Silicon Etch Process Hsiang-Chi Liu *, Yu-Hsin Lin **, Bruce C. S. Chou **, Yung-Yu Hsu **, Wensyang Hsu * * Department of Mechanical Engineering,

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

EE C245 / ME C Cory. symmetric in both. polysilicon.

EE C245 / ME C Cory. symmetric in both. polysilicon. PROBLEM SET #6 Issued: Thursday, Nov. 4, 2010. Due (at 7 p.m.): Tuesday, Nov. 23, 2010, in the EE C2455 HW box in 240 Cory. 1. Suppose you would like to fabricate the folded-beam suspended comb-driven

More information

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Christopher G. Morgan, David Varley, Ewa Kosmowska, and Ronald Vane XEI Scientific, Inc., Redwood City, CA,

More information

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector GENCOA products cover 3 sputtering related areas Magnetron Sputter Cathodes planar & rotatable Reactive gas controller & endpoint detector Linear ion sources Other activities include on-site process implementation,

More information

2011 Marine Cybernetics AS Trondheim, Norway Marine Cybernetics

2011 Marine Cybernetics AS Trondheim, Norway Marine Cybernetics AS Trondheim, Norway www.marinecyb.com 3rd Party Testing of Drilling Control Systems Ingeniørkonferansen 2011 AS Trondheim, Norway www.marinecyb.com Outlines Challenges of Drilling Control Systems Concept

More information

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROBLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales LED Production Yield Improvement Through Advanced In-Situ Metrology Tom Thieme director marketing & sales 2 Outline - Introduction - In-situ metrology the concept - Up-time: fault detection and rapid root

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC.

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. FINAL MANDATORY GHG REPORTING RULE SUBPART A Subpart A is General Provisions. Includes who must report;

More information

AR2 and AR3 DUV ANTI-REFLECTANTS

AR2 and AR3 DUV ANTI-REFLECTANTS AR2 and AR3 DUV ANTI-REFLECTANTS AR2 and AR3 DUV Anti-Reflectants are organic, thermally cross-linking bottom anti-reflectants designed to provide outstanding reflection control under DUV photoresists

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Corial D500 No mechanical cleaning

Corial D500 No mechanical cleaning Corial D500 No mechanical cleaning Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film

More information

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK FULLY INTEGRATED PLASMA SOURCE PLATFORM FOR HIGH-FLOW AND HIGH-PRESSURE, REACTIVE-GAS PROCESSES The high-efficiency Xstream platform, mounted outside

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

Be a step ahead! IT your fab! Company Presentation

Be a step ahead! IT your fab! Company Presentation Be a step ahead! IT your fab! Company Presentation Target markets of acp-it acp-it portfolio is focused on clients with complex and highly automated production environments. Production IT with Photovoltaic

More information

Wet-Burn-Wet Abatement Evaluation on 300mm Silicon Nitride Deposition. SESHA Conference 2017

Wet-Burn-Wet Abatement Evaluation on 300mm Silicon Nitride Deposition. SESHA Conference 2017 Wet-Burn-Wet Abatement Evaluation on 3mm Silicon Nitride Deposition SESHA Conference 217 Agenda Outline Introduction and Objectives Test Setup and Timeline Chamber Cleaning Test Results Deposition Process

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager Lithography S P E C I A L Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager by Stephen J. DeMoora, Stephanie Hilbun, George P. Beck III, Kristi L. Bushmana,

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Why silicon? Silicon oxide

Why silicon? Silicon oxide Oxidation Layering. Oxidation layering produces a thin layer of silicon dioxide, or oxide, on the substrate by exposing the wafer to a mixture of highpurity oxygen or water at ca. 1000 C (1800 F). Why

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

IBM Mask House Tool Automation Strategy

IBM Mask House Tool Automation Strategy IBM Mask House Tool Automation Strategy February, 2005 Tom Faure, Yiyang (Jenny) Wang, Andy Watts February, 2005 Why is tool automation necessary? What does it provide? Remote communication and control

More information

AIMCAL R2R Conference

AIMCAL R2R Conference Roll-to-Roll Integrated Deposition, Lithography, and Etching on Flexible Corning Willow Glass for Electronics Device Fabrication Robert Malay, Christian Bezama, Mark Poliks, Ming-Huang Huang, Sean Garner,

More information

PdM in Semiconductor Manufacturing Importance and Expectations

PdM in Semiconductor Manufacturing Importance and Expectations PdM in Semiconductor Manufacturing Importance and Expectations ISMI 2 nd International Predictive and Preventative Maintenance Workshop San Francisco - June, 2008 Dirk-Alexander Bruedern Front End Cluster

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Call for Papers. August 16-17, Melbourne Park Function Centre Melbourne, Australia. Australian. Submit your abstract by March 28

Call for Papers. August 16-17, Melbourne Park Function Centre Melbourne, Australia. Australian. Submit your abstract by March 28 Call for Papers August 16-17, 2016 Submit your abstract by March 28 Melbourne Park Function Centre Melbourne, Australia www.protectionsymposium.com.au Overview The Protection Symposium (APS) is a leading

More information

Self organization and properties of Black Silicon

Self organization and properties of Black Silicon TECHNISCHE UNIVERSITÄT ILMENAU 51st IWK Internationales Wissenschaftliches Kolloquium September 11-15, 2006 Self organization and properties of Black Silicon M. Fischer, M. Stubenrauch, Th. Kups, H. Romanus,

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Hitachi Review Vol. 55 (2006), No. 2 83 Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Takashi Tsutsumi Masanori Kadotani Go Saito Masahito Mori OVERVIEW: In regard to

More information

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

MANUAL FOR SPTS APS (DIELECTRICS ETCHER) MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO2, Si3N4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200 is

More information