Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated

Size: px
Start display at page:

Download "Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated"

Transcription

1 Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated Copper Films Yasmin Abdul Wahab 1, Anuar Fadzil Ahmad 2 and Zaiki Awang 1 1 Microwave Technology Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA, Shah Alam, Selangor, Malaysia 2 Silterra Malaysia Sdn. Bhd., Kulim, Kedah, Malaysia Abstract- Copper electrochemical plating (ECP) has contributed to a significant rise in both systematic and random defects. A missing metal defect is a critical problem for the 0.13 μm node and normally will only be detected after chemical-mechanical polishing (CMP). Meanwhile, this defect is also strongly dependent on post-electrochemical plating. In addition, Cu films characteristics depend critically on anneal, plating conditions and bath chemistry. This paper presents a comparison of missing metal defect formation on samples annealed using furnace versus He in-situ anneal. All copper deposition and He in-situ anneal processing was performed on the Applied Materials SlimCell TM ECP system. A post-ecp He in-situ anneal processing was carried out over a 60º C to 180º C temperature range, with anneal duration times ranging from 6 s to 2 hours. In the He in-situ anneal process, the wafers began to be ramped at over 100 º C with less than a minute soak time. For the furnace anneal, the wafers were loaded for almost an hour with less than 200 o C soak temperature. After the annealing process, Cu CMP partial polish was applied as a final step before analyzing the wafers with scanning electron microscope (SEM). Our conclusion is that the missing metal defect levels for furnace and He in-situ anneal are found to be comparable and have no correlation with reflectivity or stress. In this paper we compare the capabilities and performance of different types of annealing processes and their impact on missing metal defect (MMD), an in-line technique developed to reduce total defect count. We will also present correlations of these defects to plating and anneal process parameters. Keywords: Copper electrochemical plating, missing metal defect, He in-situ anneal, furnace anneal, chemical-mechanical polishing. I. INTRODUCTION With the rapid adoption of Cu metallization as the metal interconnect in nanotechnology and high-speed/radio frequency integrated circuits, Cu dual damascene technology is gaining momentum because of the higher electrical conductivity and electromigration resistance of Cu. During some stages of wafer processing, defects arise gradually depending on the level and process step implemented in the back end of line (BEOL). A missing metal defect has been a major problem in Cu dual damascene (CuDD) technology and is normally seen after /06/$ IEEE 53 CMP. This problem, as well as electromigration (EM) and stress migration (SM) reliability strongly depends on postelectrochemical plating (ECP) Cu film grain size, orientation, and intrinsic stress in patterned structures [1]. In fact, missing metal (or commonly known as a Cu void) has been indirectly affecting yield enhancement process. In many cases it has been observed that void defects of Cu thin films mainly yield killers and seriously degrade the reliability of interconnects [2]. This paper investigates the formation of missing metal defect for He in-situ and furnace annealed electroplated Cu films. The objective is to identify critical defects buried in the Cu film after applying different types of annealing process. II. EXPERIMENTAL DETAILS Blanket 200 mm Si wafers were used for the inspection of missing metal defect and other post-plating defects. TaN x /Ta barrier layers of Cu seed were deposited using a 200 mm Barrier Seed Endura physical vapor deposition (PVD) system that utilizes a hollow cathode magnetron. Pre-stress of seed layer was studied before the plating step. A set of wafers was annealed in separated lots using furnace, and the other set was put through the He insitu anneal located at the plating system tool. The post-stress and reflectivity of the plated Cu layer were then recorded. As a final stage of missing metal qualification, a Cu CMP partial polish was employed before both sets of wafers underwent particle scan check prior to plating defect monitoring. Detailed review and classification of all defects on each processed wafers was carried out using a scanning electron microscope (SEM), to build up a defect pareto chart for each wafer. On top of that, SEM images of missing metals were captured and selected defects were examined by SEM images of cross sections created by focused ion-beam (FIB). As a part of the defect inspection and classification system, the classification codes and SEM images for every individual defects were automatically downloaded into a defect database system after the wafers were reviewed. In addition, the number and distributions of the defects at the end of polishing step would be available for post-defect review analysis.

2 III. RESULTS and DISCUSSION A. Process Parameter Comparison The results of the process parameter comparison between furnace and He in situ anneal are presented in Fig. 1. Analysis of stress and reflectivity shows a significant difference in both process parameters between the two annealing conditions. To further improve the results in terms of significance difference a value, a T-test has been implemented in one-way analysis by anneals. The results shown in Fig. 1 seem to indicate that high stress in the He in-situ anneal occurred because of the faster ramp rate. In integrated circuits, the difference in thermal expansion between the metal film or line and the neighboring materials gives rise to high stress during the fabrication process, resulting in stress-induced damage, such as voids or grooves [3]. Meanwhile, the high reflectivity observed in furnace-annealed samples is probably due to different grain size and Cu oxidation. The grain size in as-deposited Cu films is small but starts to grow after deposition and this increased further in samples annealed at higher temperatures. Atoms are loosely packed in the grain boundaries, so the grain growth densifies the film and introduces tensile stress. Numerous decreases in the grain boundary energy can compete with the increase in the strain energy and directly influence the growth of the grains against stress [4]. In other words, high densities of grain boundaries and immediate re-crystallization after electrochemical plating lead to unstable grain structures. In post Cu electroplating, annealing plays a key role in the process, as it impacts layer properties such as grain size, re-crystallization, texture and internal stress. The furnace and He in-situ anneal temperature profile are displayed in Fig. 2. The temperature profile for the furnace shows that the wafers were loaded onto zone 1 with less than 5 º C per min ramp up and for the He in-situ, the wafers were ramped about 30 º C per minute. The temperature reached a maximum point and then was ramped down at the end of the pre-cool step. The wafers cooled down at the end of cool recipe. 200 (a) Figure 1: Box and Whisker Plot comparison between furnace and He in situ anneal for the formation of missing metal defects (a) Analyses of stress by anneal Analyses of 480 nm by anneal. MMD Qual lot loaded to zone 1. Helium ON ( a) Helium ON T=0 T=1 T=2 min /06/$ IEEE 54 T2 T3 T4 T8 T9 T10 T14 T15 T16 Figure 2: (a) Furnace temperature profile He in situ temperature profile

3 The temperature ramp rates of a furnace are limited by the onset of plastic deformation of silicon wafers. During a transient wafer heating or cooling process, a non-uniform temperature distribution on a wafer surface produces thermal stresses and dislocations will be induced if the yield strength of silicon is exceeded [5]. B. Defect Comparison of Furnace versus He in-situ Samples Missing metal defect is related to electroplating process, and is one of the most commonly seen defects in electro-plated Cu films. The comparison of the defect counts per wafer in furnace versus He in-situ anneal is shown in Fig. 3. It indicates the highest count of missing metal was found in the furnace anneal process step in one related wafer. On the other hand, the overall count of this defect level in both anneal processes are comparable and not significantly different. The median defect count per wafer for the furnace and He in situ anneal were equal we can therefore conclude there was no significant difference in missing metal defect counts between the two annealing processes. B1. Missing Metal Defect A compluss scan and top-view SEM image observed from an electroplated Cu film with missing metal defects are shown in Figs. 4 (a) and, respectively. This defect generation was found only after the CMP partial polish step and was not transformed from previous defect. We feel that the possible cause of missing metal defect was attributed to the missing seed Cu from the wafer at the beginning of electroplating or preferentially etched during plating, therefore no Cu is plated in that area. Cu plating is normally followed with an anneal step to encourage film stabilization and grain growth, but this situation will lead to additional defects if the anneal temperature is too high. In fact, such defects are typically observed at post-cmp, where Cu migrated through stress away from the damascene features, leaving voids in its place, giving rise to metal defects. Fig. 5: Missing metal defect counts versus ECP to furnace queue time Fig.3: Box plot of missing metal defect counts for Cu films annealed in furnace and He in-situ (a) /06/$ IEEE 55 Fig. 4: Images of missing metal defect: (a) compluss scan image topview of SEM image Fig. 5 shows the counts of missing metal defect versus electroplating to furnace queue time observed in this study. The results show there is weak correlation between anneal queue time and defect count. The slight increase in this defect with longer queue time may be caused the seed aging. There are several factors that contribute or influence the occurrence of this flaw. The first factor is copper seed age or oxidation state. It is clear that this is not only a function of the time between seed deposition and plating, but also the specific anneal duration. As the above result shows, longer queue time from copper plating to anneal influenced the occurrence of missing metal defect. Further investigation has to be implemented to study the possibility of imposing a time window between seed deposition and plating as well as plating to anneal duration in order to get consistent results. The second factor is mostly dependent on plating chemistry. A couple of organic additives (accelerator and suppressor) used in plating bath are essential to provide

4 bottom-up fill in small via and trench features, however they tend to act as good surfactants, thus causing metal defects. Besides, a third organic additive (leveler) has been introduced to reduce Cu over-deposition (mounding) in dense area of small features. Missing metal defect counts versus run sequences in ECP cells is presented in Fig. 6. From the results shown, we can deduce that there is a weak correlation between run sequences and defect level. But there is a strong correlation to first wafer in He in situ anneals between run sequences for pits, void and crater defects. Possible causes of such defects could range from an ECP step that might shield a region from the previous processes. cause an electrical short between metal lines or other structures, leading to yield loss and reliability problems [6]. Meanwhile, embedded particles are most easily identified using SEM - normally the size of this defect is 1 to 100 microns range. It is important to identify the chemical compositions of these particles to track down the source of these embedded particles. In-situ energy dispersive X-ray (EDX) analysis was applied on the embedded particles during SEM review. As a result, the chemical spectrum will clearly indicate the presence of related chemical in the embedded particle. Indirectly, this information will lead to identification of the root cause of the defect. There are several possibilities for the root cause of embedded particle such as core defect prior to the ECP is already embedded in the barrier or seed layer and then coated by plating. Defect type Gouge TABLE 1: POST-PLATING DEFECT TYPES. Compluss image SEM image Description Caused mainly by CMP process step. Embedded Due to surface particle at CuBS layer, transformed at ECP layer Figure 6: Missing metal defect counts versus run sequences in ECP C. Other Post-plating Defects Post-CMP defect review and classification can reveal previously undetected defects that are unrelated to the CMP process step. Defect detection and classification of other types of post-plating defects were performed in detail in this work. For missing metal faults, the major types of post-plating defects are gouges, embedded particle, Cu void and corrosion. Listed in the table below are the main defects of interest within the barrier seed, copper plating and partial CMP polishing module. Gouge or mostly known as triangle scratches have characteristic triangular profiles as shown in Table 1 and they could be as deep as 2000 Å in angular shape. It is also found some suspected alumina slurry trapped in the gouge at post CMP. Furthermore, EDX analyses shows peaks of C, Si, O, Al and Cu. The gouge is commonly seen on large copper pads in random and is normally detected at Cu CMP process. This flaw can originate from defects in ECP, barrier seed or etch which transformed into gouge after CMP. As a result, scratches or gouges are formed on the wafer surface due to the ploughing action of the abrasive particles as they come into contact with the wafer. Deep scratches can result in the formation of metal residues or puddles on subsequent metal layers, which can then /06/$ IEEE 56 Cu Void Corrosion Round/circular shapes. Derived from previous layer (ECP step)- crater Due to CMP. Large area. Other than that, Cu voids, normally in round shape, is another example of post-plating flaw. The possible cause of Cu voids is still not clear in terms of defect characterization. However, we suspect that the root cause could be at the copper-electroplating step, which is due to surface contamination that prevents plating from happening locally. An aging bath or higher plating current densities resulted in an increase in film impurities, and this can lead to more void formation. One other possibility is decrease in chemical resistance of Cu films by impurity incorporation. It is generally believed that good Cu film quality can hinder void formation. In the metallization process sequence, defects arising from the plating process can be attributed to

5 poor initial wetting of the seed layer at the onset of deposition, sporadic accelerated growth due to the plating chemistry, embedded particles and incomplete rinsing after plating. Another class of post CMP defects is corrosion. The wafers are exposed to corrosive conditions by the chemical component actions of the process, since the Cu CMP utilizes a chemical and mechanical step to remove the material. The occurrence of corrosion is completely random and normally will be detected in a large area. The role of electroplated film quality cannot be ignored in the study corrosion although CMP slurry chemistry is frequently related to this defect. VI. CONCLUSION A preliminary study of the quality of furnace and He in-situ annealed Cu films were evaluated in this work by comparing the missing metal defect level. The impact of annealing conditions on the films was investigated. This paper discussed the major defect types seen in dual damascene copper CMP technology, with an emphasis on major defects that affect yield. As a conclusion, missing metal defect levels for both anneals was found to be comparable for the data points collected. Besides, there is a significant difference in reflectivity and stress between He in-situ and furnace annealed films. A further investigation is currently underway to quantify the difference in copper grain size. IV. ACKNOWLEDGMENTS The authors are grateful to the Thin Film Metal Group from Silterra Malaysia Sdn. Bhd. for their contributions. VII. REFERENCES [1] R. Mostovoy, V. Svidenko, L. Levin, L. Karsenti, A. Rosenfeld, K.Ta, Post-plating Morphological Cu Grain Boundary Analysis, in Proc International Semiconductor Technology Conf., Santa Clara, USA, pp. 1-10, [2] H. P. Feng, M. Y. Cheng, Y. L. Wang, S. C. Chang, Y. Y. Wang, C. C. Wan, Mechanism for Cu Void Defect on Various Electroplated Film Conditions, Thin Solid Films, pp , [3] Hyun Park, Soo-Jung Hwang and Young-Chang Joo, Stress-induced Surface Damage and Grain Boundary Characteristics of Sputtered and Electroplated Copper Thin Films, Journal of Acta Materialia, vol. 52, pp , [4] A. Uedono, T. Suzuki and T. Nakamura, Vacancy-type Defects in Electroplated Cu Films Probed by using a Monoenergetic Positron Beam, J. App. Phys., vol. 95, pp , February [5] Yong-Hui Fan and Taiqing Qiu, Analyses of Thermal Stresses and Control Schemes for Fast Temperature Ramps of Batch Furnaces, in IEEE Trans. on Semiconductor Manufacturing, vol. 10, No. 4, pp , Nov [6] T.Y. Teo and W. L. Goh, Characterization of Scratches Generated by a Multiplaten Copper Chemical-Mechanical Polishing Process, J. Vacuum Sc. Technology, vol. 22, pp , January [7] P. H. Haumesser, T. Mourier, S. Maitrejean, M. Cordeau, T. Morel, X. Avale, O. Pollet, J.Klocke, Copper Post-Electroplating Anneal: Evaluation of In-line vs. Furnace Anneal on Layer Properties, Microelectronic Engineering, vol. 70, pp , /06/$ IEEE 57

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Proceedings of the 2016 International Conference on Industrial Engineering and Operations Management Kuala Lumpur, Malaysia, March 8-10, 2016

Proceedings of the 2016 International Conference on Industrial Engineering and Operations Management Kuala Lumpur, Malaysia, March 8-10, 2016 Copper Sheet Resistance characteristics in the production staging time limitation study between Copper Seed and Copper Electroplating in Semiconductor Wafer manufacturing Anuar Fadzil Ahmad Department

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Cu-Al intermetallic growth behaviour study under high temperature thermal aging

Cu-Al intermetallic growth behaviour study under high temperature thermal aging Cu-Al intermetallic growth behaviour study under high temperature thermal aging C.L Cha, H.J Chong, Yaw HG, Chong MY, Teo CH Infineon Technologies, Melaka, Malaysia Abstract Copper (Cu) wire always gains

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

EFFECTS OF THERMAL AGING ON INTERMETALLIC COMPOUNDS AND VOIDS FORMATION IN AuAl WIRE BONDING. A. Jalar, M. F. Rosle and M. A. A.

EFFECTS OF THERMAL AGING ON INTERMETALLIC COMPOUNDS AND VOIDS FORMATION IN AuAl WIRE BONDING. A. Jalar, M. F. Rosle and M. A. A. EFFECTS OF THERMAL AGING ON INTERMETALLIC COMPOUNDS AND VOIDS FORMATION IN AuAl WIRE BONDING A. Jalar, M. F. Rosle and M. A. A. Hamid School of Applied Physics, Faculty of Science and Technology, Universiti

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating 0013-4651/2004/151 12 /C781/5/$7.00 The Electrochemical Society, Inc. Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating C781 Zenglin Wang, Osamu Yaegashi, Hiroyuki Sakaue,

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Experimental O 3. Results and discussion

Experimental O 3. Results and discussion Introduction Surface coatings to protect against oxidation extend the service temperature and the service life of basic metals. The commercially used coating systems can be divided into three main groups:

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE. Universiti Kebangsaan Malaysia, 43600, Bangi, Selangor, Malaysia

EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE. Universiti Kebangsaan Malaysia, 43600, Bangi, Selangor, Malaysia EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE W. Shualdi 1, I. Ahmad 1, G. Omar 2 and A. Isnin 3 1 Department of Electrical, Electronic and System, Faculty of Engineering,

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging Sādhanā Vol. 33, Part 3, June 2008, pp. 251 259. Printed in India A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging D C LIN 1,

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION. Universiti Kebangsaan Malaysia UKM Bangi,Selangor, Malaysia

CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION. Universiti Kebangsaan Malaysia UKM Bangi,Selangor, Malaysia CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION W. Shualdi 1, W. M. S. W. Suliman 1, A. Isnin 2 and N. A. Mohamad 2 1 Advanced Semiconductor Packaging (ASPAC) Research Laboratory Universiti

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS

MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS 109 Chapter 5 MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS 5.1 INTRODUCTION The microstructural studies of friction welding helps in understanding microstructural changes occurred during friction

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Hybrid Clean Approach for Post-Copper CMP Defect Reduction Hybrid Clean Approach for Post-Copper CMP Defect Reduction Wei-Tsu Tseng,* Vamsi Devarapalli, James Steffes, Adam Ticknor, Mahmoud Khojasteh, Praneetha Poloju, Colin Goyette, David Steber, Leo Tai, Steven

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

Surface Pretreatments for Remove of Native Cu Oxide Layer

Surface Pretreatments for Remove of Native Cu Oxide Layer Paper Surface Pretreatments for Remove of Native Cu Oxide Layer Hyunjin Ju 1, Yong-Hyuk Lee 2, Youn-Seoung Lee 2, and Sa-Kyun Rha 1 * 1 Department of Materials Engineering, Hanbat National University,

More information

Lab IV: Electrical Properties

Lab IV: Electrical Properties Lab IV: Electrical Properties Study Questions 1. How would the electrical conductivity of the following vary with temperature: (a) ionic solids; (b) semiconductors; (c) metals? Briefly explain your answer.

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

EFFECTS OF BORON CARBIDE ADDITION ON HARDNESS AND MICROSTRUCTURE OF Al-Si/B 4 C COMPOSITE. of Malaysia, 43600, Bangi Selangor, Malaysia

EFFECTS OF BORON CARBIDE ADDITION ON HARDNESS AND MICROSTRUCTURE OF Al-Si/B 4 C COMPOSITE. of Malaysia, 43600, Bangi Selangor, Malaysia EFFECTS OF BORON CARBIDE ADDITION ON HARDNESS AND MICROSTRUCTURE OF Al-Si/B 4 C COMPOSITE Anis Syukriah Ibrahim 1, Abdul Razak Daud*,1 and Yusof Abdullah 2 1 Department of Applied Physics, Faculty of Science

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Laura Mauer, John Taddei, John Clark, Kenji Nulman Veeco Precision Surface Processing Horsham, PA 19044 lmauer@veeco.com Abstract

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Electric Flame-Off Characteristics and Fracture Properties of 20 m Thin Copper Bonding Wire

Electric Flame-Off Characteristics and Fracture Properties of 20 m Thin Copper Bonding Wire Materials Transactions, Vol. 5, No. 2 (29) pp. 293 to 298 #29 The Japan Institute of Metals Electric Flame-Off Characteristics and Fracture Properties of 2 m Thin Copper Bonding Wire Fei-Yi Hung 1; *,

More information

Structural changes of polycrystalline silicon layers during high temperature annealing

Structural changes of polycrystalline silicon layers during high temperature annealing Structural changes of polycrystalline silicon layers during high temperature annealing D. Lysáček, L. Válek ON SEMICONDUCTOR CZECH REPUBLIC, Rožnov p. R., david.lysacek@onsemi.com Abstract The structure

More information

ARTICLE IN PRESS. Materials Science in Semiconductor Processing

ARTICLE IN PRESS. Materials Science in Semiconductor Processing Materials Science in Semiconductor Processing ] (]]]]) ]]] ]]] Contents lists available at ScienceDirect Materials Science in Semiconductor Processing journal homepage: www.elsevier.com/locate/mssp High-dielectric

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

CHAPTER 4: The wetting behaviour and reaction of the diamond-si system

CHAPTER 4: The wetting behaviour and reaction of the diamond-si system CHAPTER 4: The wetting behaviour and reaction of the diamond-si system In this chapter, the wetting behaviour of diamond by silicon will be presented, followed by the study of the interaction between diamond

More information