Advanced Copper Column Based Solder Bump for Flip Chip Interconnection

Size: px
Start display at page:

Download "Advanced Copper Column Based Solder Bump for Flip Chip Interconnection"

Transcription

1 Advanced Copper Column ased Solder ump for Flip Chip Interconnection Advanced Copper Column ased Solder ump for Flip Chip Interconnection Hiroshi Yamada, Takashi Togasaki, Kazuki Tateyama, and Kazuhito Higuchi Materials and Devices Research Laboratories Research and Development Center, Toshiba Corporation 33, Shin-Isogo Cho, Isogo-ku Yokohama 35, Japan Phone: Fax: Abstract A Flip Chip interconnection technology which enables reduction of strain in the bumps and uniform encapsulation of underfill resin has been developed by applying the advanced copper column based solder bumps. The solder bump has a copper column at the center of its bottom and is designed for high reliability flip chip interconnection. The copper column shape and the solder volume were obtained by employing Finite Element Model (FEM) simulation to analyze the plastic strain in the solder bumps. In the fabrication process, a microstructural resist patterning technique to form the copper column was precisely developed. Also, the electroplating technique to control the copper column shape and the solder volume has been developed by utilizing voltammetric analysis. The relations between the encapsulant flow and the encapsulation bump layout design parameters were obtained by the evaluation of the encapsulation flow characteristics under the LSI chip based on the laminar model. Concerning reliability, the thermal fatigue lifetime of the flip chip interconnection was found to be equal to or longer than that of the conventional interconnection. Key words: Flip Chip Technology, Copper Column ased Solder ump, Underfill Encapsulation Resin, and Reliability.. Introduction Flip chip interconnection technology is currently the most advanced interconnection technology and is widely used for high density and high speed assembly of products such as MCMs and personal electronic equipment. Recently, high speed mobile communication terminals and high density processing modules in high speed computers utilizing flip chip interconnection have been reported -4. For more than a decade, epoxy underfill resin has been used successfully to increase interconnection reliability while reducing the strain in the bumps 3. Recently, small stand-off height and high viscosity attribute to silica filler have made uniform encapsulation difficult. Furthermore, the pressure loss at the gap between the bumps obstructs the encapsulation flow and tends to cause void around the bumps 4. The underfill encapsulation is considered to become more difficult and bump obstruction more striking as a result of the trend toward longer chip size and higher I/O number. The authors have developed the flip chip interconnection technology which enables reduction of strain in the bumps and uniform encapsulation of underfill resin by applying the copper column based solder bumps. The solder bump has a copper column at the center of its bottom and its shape is designed for high reliability flip chip interconnection. Several types of solder bumps which have rigid pillar or stud made of Au, Cu, or Pb-5%Sn in Pb-63%Sn solder have been reported 5-7. y employing bumps of this type, it is possible to realize improved flip chip interconnection reliability since the stand-off height is sufficient to preclude any contact between the chips, thus, enabling fine pitch interconnection and uniform underfill encapsulation resin. Many types of copper pillars or column solder bumps have been proposed in view of their ease of fabrication compared with other metals such as Au or Pb-5%Sn 8. However, it has long been known that the large strain caused by the different deformation of each metal in the copper column based solder bumps decreases interconnection reliability 5. Recently, GA or CSP are made of FR-4 substrate which has 8µm ~ 35µm thick The International Journal of Microcircuits and Electronic Packaging, Volume, Number, First Quarter 998 (ISSN ) 5

2 Intl. Journal of Microcircuits and Electronic Packaging copper layer for terminal footprints 9. In the case of direct attachment of LSI chips onto FR-4 substrate for these packages by flip chip interconnection, it is necessary to make the same structure as for the conventional copper column solder bump, and thus the strain in this type of bump must be reduced in order to realize low cost, and high performance electronic products. This paper describes the flip chip interconnection technology which enables reduction of strain in the bumps and uniform encapsulation of underfill resin. The copper column design and solder volume were optimized to reduce strain in the bumps. A microstructural resist patterning technique and precise electroplating technique were developed to form the bumps for the optimized design. The encapsulation flow characteristics under the LSI chip were evaluated for uniform underfill resin. Also, the reliability of the flip chip interconnection in the case of utilizing the copper column based solder bump was also investigated in this work.. Design Parameters of Copper Column Solder ump The bump shape is decided based on the relation among the weight of LSI (G), the pressure balance of molten solder DP), and the surface tension of the molten solder (T). When the restoration force (F s ) is defined as the resultant force of DP and T, the bump height is calculated by the balance between F s and G as follows, D Fs Pπ πdt sinθ () P T + () R R circumference which is calculated from the radius R and the radius R. R r, R r + a, x r ( y b) + a Furthermore, the bump limited metallurgy of the substrate D P, that of the LSI chip D, and the bump height h j has the following relation, D DP b ( D DP ) a + h (4) j h j 4 4 r D a D / sin a θ r + ( h j b) And the solder bump volume is calculated as follows, V h j 0 πx dy The solution of equation (7) was calculated by numerical analysis using the data D 0.00mm and bh j /. From the above equations ()-(7), the values (a, b, r) and the design parameters (, D P, F s ) are represented as a function of h j. Also, the deformation volume of the bump after the reflow process was defined as follows, Dh j h 0 - h j (8) where h j is the bump height after reflow interconnection process, and h o is the bump height of F s 0. Figure shows the FEM simulation model for analyzing the strain in the bumps and investigating the effect of copper column height. The deformation of solder bumps which was characterized by the relative share displacement (Dl) and the relative axial displacement (D z) under thermal cycle test (TCT) (-65 C (30min)~5 C (30min)) condition was analyzed. The equivalent plastic strain (D g peq ) in solder bump was estimated by elastic plastic analysis. Furthermore, the thermal fatigue life of flip chip interconnection was predicted by the following equation which was obtained by another experiment, (3) (5) (6) (7) Figure. Schematic view of bump shape and its design parameters. Figure shows the schematic view of the bump shape and its design parameters. The side shape of the bump is decided based on the peq Nf ε (9) Figure 3 shows the results of calculated deformation volume of the solder bump using the data V5.5 x0 4 mm 3 which is for initial bump height of 70µm. The shapes of bumps are deformed and 6

3 Advanced Copper Column ased Solder ump for Flip Chip Interconnection their height decreases as the number of bumps per chip is reduced. In this Figure, also shown, the case of the LSI chip assembled on the.. Copper Column Solder ump Fabrication Process The bumps are fabricated by the electroplating method to control the copper column shape and the solder volume precisely. In order to fabricate the bump, a microstructural resist patterning technique was developed to control the bump height, the electroplating technique utilizing voltammetric analysis was developed. Individual fabrication processes are described in different literature 0,... Microstructural Resist Figure. FEM simulation model. substrate that has different pattern of solder dam. The experimental results show good agreement with the values obtained from the calculation results. The difference in the small bump density region may be dependent on the flux behavior that affects the surface tension of the molten solder. Figure 3 also shows the results of FEM simulation. oth of the equivalent values (D g peq ) decrease as the copper column height decreases. Particularly noteworthy is the fact that D g peq of encapsulated structure was an exceedingly small value compared with that of unencapsulated structure. From this D g peq calculation and prediction of Nf 50, the flip chip interconnection reliability of the copper column based solder bump can be expected to show excellent results if the underfill encapsulation resin is used. The bump height which decreases as the bump density is reduced must be kept at a sufficient value for a uniform underfill resin encapsulation. The uniform underfill resin encapsulation is carried out when the gap between the LSI chip and the substrate has a sufficient value. However, as shown in Figure 3, the bump height decreases in accordance with the reduction of bump density. As the encapsulant contains silica filler to reduce its CTE and the strain in the bump, small stand-off height and high viscosity attributable to silica filler make the encapsulation difficult. The copper column based solder bump releases this severe restriction and increases flip chip interconnection reliability, even if the number of bumps per LSI chip is few, as in the case of memory LSI chips or GaAs MMICs. The alkaline solubility of the positive type photoresist changes after the exposure, resulting in the differential dissolution of the exposed and unexposed parts as shown in Figure 4. This change can be explained in terms of two contrary effects: a dissolution inhibition effect in the unexposed area, and a dissolution promotion effect in the exposed area. The authors attempted to increase the dissolution effect parameter defined by the equation below, since the positive type photoresist can be developed sharply when the parameter V n /V o has a high value. Dissolutio n effect parameter : Vn / Vo Figure 4. Differential dissolution of the resist. γ (0) Figure 5 shows an SEM micrograph of a microstructural resist pattern. The vias of the resist had a 0µm pitch and a 5µm diameter and a 0µm depth and were arranged 5µm apart. These resolution values are sufficient to fabricate precise copper column solder bumps. Figure 3. Relation between bump height and deformation volume of the bump. Figure 5. SEM Photograph of the microstructural resist pattern. The International Journal of Microcircuits and Electronic Packaging, Volume, Number, First Quarter 998 (ISSN ) 7

4 Intl. Journal of Microcircuits and Electronic Packaging.3. Electroplating Technique for Copper Column Figure 6 shows the electroplating apparatus which was used in the bump fabrication process. The electrolyte was recalculated so as to be sufficiently agitated on the wafer surface. The final current distribution at the wafer surface is mainly produced by the primary current distribution which depends on the equipment design and the ion concentration. Figure 8. In the case that the gap width (d) is finite, the fluid flux (Q) in parallel plate is less than the value represented by equation () using the coefficient C b to consider the effect of sidewalls. Figure 7. SEM photograph of the fabricated copper column based solder bump. n Q C A h P () b Figure 6. Schematic depiction of the electroplating apparatus. Primary current distribution was improved by optimizing equipment design such as the dimension between the wafer and the anode. Furthermore, the plating rate distribution due to the ion concentration was considered as follows. The ion concentration distribution at the wafer surface is influenced by the electrolyte flow conditions. The cyclic voltammetric stripping (CVS) method in which some platinum working electrodes are plugged in the wafer was used to investigate flow influence. The CVS method enables sensitive evaluation of plating rates, and thus can clarify the ion concentration. The plating rates on each working electrode were measured while ignoring the influence of electrolyte resistance and electrode surface condition. As a result of this investigation, the final current distribution was improved and the plating current distribution was controlled within ±0% under optimal conditions. This value satisfies the specification for copper column based solder bumps. Figure 7 shows the fabricated copper column based solder bump on the LSI chip. where C b is a constant that depends on the value of d/h. Encapsulant flow can be modeled as in Figure 8 using the parallel plate model with infinite width for area without bump and with finite width (d) for bump area. The flux of the fluid can be represented for the bump area as equation () and for the area without bump as equation (3) using this model, 3. Flow Characteristics in Resin Encapsulation 3.. Effect of ump Gap and ump Pitch on Driving Force Loss The model of the laminar flow in parallel plate with finite width was used to evaluate the driving force loss at the bump gap 3 in Figure 8. Flow model of encapsulant for LSI with peripheral bump. Q P Cb A h d.86 P ().86 (3) Q A h P Furthermore, the driving force loss (R Q) can be represented as equation (4), 8

5 Advanced Copper Column ased Solder ump for Flip Chip Interconnection R Q P P (4) Q in equation () equals Q in equation (3) due to the flux succession. Thus, the coefficient of the driving force loss (R) at the bump gap is given by equation (5), P P h Q A.86 P d C b R (5) rate and the flow time in this experiment is shown in Figure 9 and the point at which the flow rate decreased discontinuously is also shown. It is recognized that a discontinuous decrease of flow rate occurs when the flow rate decreases to the region of 6.0x0 - mm/s ~ 6.8x 0 - mm/s. It is considered that the filler packing occurred at this moment and transportation limit velocity is considered to be in the region of 6.0x0 - mm/s ~ 6.8x0 - mm/s. The condition necessary for complete encapsulation is considered to be that the flow rate is Defining the bump size factor (b) as equation (6), R is considered to be directly proportional to b,.86 P h d C b β (6) The relation between the experimental R and the calculated b shows good correlation and the obstructive effect of the bump on the encapsulant flow can be calculated using this relation. R is given as equation (7) from the relation between R and the calculated b. R.77 0 (7) 7 β (Pa s/m) Substituting equation (7), the flow distance L and the flow rate dl/dt for LSIs that have peripheral bump arrangement are represented as equations (8) and (9). where dl dt ( a β + a h t) a3 β L (8) a a a , , ( a β + a h ) a4 h t where a x 0-3. (9) (9) Figure 9. Relation between flow rate and flow time. higher than the transportation limit velocity. Judging from these factors, the condition necessary for complete encapsulation was defined as the flow rate is higher than 7.0x0 - mm/s until the encapsulation is complete. The maximum chip size permitting a complete encapsulation (Lmax) can be calculated by applying equation (9) under the condition mentioned above. The Lmax for LSIs with peripheral bump arrangement (LmaxP) is given by equation (0) using the bump size factor (b), 0.94 L max P a h a β (0) 5 6 where a x0-3, a 6.3x0-6. The relation between the LmaxP for peripheral bump arrangement and the stand-off height (h) or bump pitch (P) is shown in Figure 0. This result enables the uniform underfill encapsulation resin even if a lot of silica fillers were distributed in the resin to reduce its coefficient of thermal expansion (CTE). 3.. Encapsulation for Peripheral ump Arrangement The flow rate of samples with stand-off height of 30 µm discontinuously decreased when the flow distance reached 5.5mm or 6.0mm and the encapsulation was incomplete. It is considered that the flow partially stopped due to packing of silica filler. It is known, in fluid flow including powder, that packing of powder occurs if the range of fluid velocity is less than a certain value called the transportation limit velocity 3. The relation between the flow Figure 0. Relation between LmaxP and bump pitch. The International Journal of Microcircuits and Electronic Packaging, Volume, Number, First Quarter 998 (ISSN ) 9

6 Intl. Journal of Microcircuits and Electronic Packaging 4. Flip Chip Interconnection Reliability 5. Conclusion Figure shows a cross-sectional view of the flip chip interconnection employing the copper column based solder bump. The LSI chip has 34 bumps. The bump size is 00µm and the pitch is 00µm. The copper column is 0µm and the copper wiring layer for footprint is 0µm. The bump height is 50µm and this Figure presents a sufficient value for uniform encapsulation. Figure shows the dependence of cumulative failure % on the number of TCT (-65 C(30min)~5 C(30min)) cycles using FR-4 substrate. The samples were considered to be failures when the bump resistance reached 00 mw. The change of bump resistance during TCT was monitored by the four probe method. The flip chip interconnection technology which enables reduction of strain in the bumps and uniform encapsulation of underfill resin has been developed applying the copper column based solder bump. The bumps are designed by employing the FEM simulation model, fabricated microstructural resist and precise electroplating technique. Encapsulation flow characteristics under the LSI chip were evaluated based on the viscous laminar model and the obtained relation between the maximum chip size permitting the encapsulation and the encapsulation parameters of h, d, and P. Furthermore, the thermal fatigue lifetime of the flip chip interconnection was found to be equal to or longer than that of the conventional interconnection. The copper column based solder bump flip chip interconnection technology is especially effective in the case of FR-4 substrate for low cost and high performance electronic products. Acknowledgment Figure. Cross-sectional view of the flip chip interconnection structure. The reliability of the solder bumps depended on the CTE of encapsulated underfill resin and increased as the CTE of the resin decreased. Particularly noteworthy is the fact that the thermal fatigue lifetime of the copper column based solder bump is equal to or longer than that of the non-copper column solder bump. This effect is due to the flip chip interconnection reliability is mainly dependent on the mechanical characteristics of the underfill resin. Figure. Dependence of cumulative failure % on the number of thermal cycle test. The authors are grateful to Dr. Y.Uematsu and Mr. M. Saito for their encouragement throughout this work, and would also like to thank other members of their group for assistance with the experiment. References. Rao R.Tummla, et al., Overview of Packaging for Enterprise System/9000 ased on the Glass Ceramic Copper/Thin Film Thermal Conduction Module, IEEE Transactions on Components, Hybrids, and Manufacturing Technology, CHMT, Vol. 5, No. 4, pp , August 99.. T. Togasaki et al., ump Interconnection for Chip Components and LSI Chips for High Density Modules, Proceedings of the International Microelectronics Symposium, ISHM 94, oston, Massachusetts, November 5-7, pp. 66-7, F. Nakano et al., Resin-Insertion Effect on Thermal Cycle Resistivity of Flip Chip Mounted LSI Devices, Proceedings of the International Microelectronics Symposium, ISHM 87, Minneapolis, Minnesota, September 8-30, pp , D. Suryanarayana et al., Enhancement of Flip Chip Fatigue Life by Encapsulation, IEEE Transactions on Components, Hybrids, and Manufacturing Technology, CHMT, Vol. 4, No., pp. 8-3, March G.G. Heinen et al., Multichip Assembly with Flipped Integrated Circuit, Proceedings of the International Microelectronics Symposium, ISHM 89, altimore, Maryland, October 4-6, pp , I. Shoji et al., Thermal Fatigue ehavior of Flip chip Joint 0

7 Advanced Copper Column ased Solder ump for Flip Chip Interconnection and Shear Creep Property, Third Symposium on Microjoining and Assembly Technology in Electronics, Yokohama, Japan, February 6-7, pp , S. Fujiuchi et al., Collective Screen Printing for Carrier ump and SMT Pads, Proceedings of the 995 Japanese International Electronics Manufacturing Technology, IEMT Symposium, Omiya, Japan, December 4-6, pp. 09-, R.R. Tummala, et al. Editors, Microelectronics Packaging Handbook, Van Nostrand Reinhold, New York, K. Doi et al., Prediction of Thermal Fatigue Life for Encapsulated Flip Chip Interconnection, Proceedings of the International Microelectronics Symposium, ISHM 95, Los Angelos, California, October 4-6, pp. 47-5, Hiroshi Yamada et al., A Fine Pitch and High Aspect Ratio ump Array for Flip Chip Interconnection, Proceedings of the International Electronics Manufacturing Technology, IEMT Symposium, altimore, Maryland, September 8-30, pp. 889, 99.. Hiroshi Yamada et al., A Fine Pitch and High Aspect Ratio ump Fabrication Process for Flip Chip Interconnection, Proceedings of the 995 Japanese International Electronics Manufacturing Technology, IEMT Symposium, Omiya, Japan, December 4-6, pp. -4, Kazuhito Higuchi et al., Advanced uild-up Wiring Technology for MCM-D/L, Proceedings of the International Microelectronics Symposium, ISHM 96, Minneapolis, Minnesota, October 8-0, pp , Takashi Togasaki et al., Flow Characteristics in Resin Encapsulation for Flip chip Interconnection, Proceedings of the International Microelectronics Conference, IMC, pp , April 4-6, 996. About the authors Mr. Hiroshi Yamada received a.e. Degree from Department of Synthetic Chemistry Nagoya University, Japan, in 986. He joined Toshiba Corporation in 986 and working at Materials and Devices Research Laboratories in the Research and Development Center, where he has been working on research on the assembly and packaging technology. He is currently the research scientist of Materials and Devices Research Laboratories, and has been developing the high density and high speed interconnection technology. He is a member of the Society of Polymer Science, Japan, IEICE, IMAPS, and IEEE. Mr. Takashi Togasaki received a.e. Degree in Electronics Engineering from Tohoku University, Japan, in 990. He joined Materials and Devices Research Laboratories, Toshiba Corporation in 990. Since then, he has been primarily engaged in research and development on high density and high speed interconnection technology. Mr. Togasaki is a member of the IEICE. Mr. Kazuki Tateyama received.e. and M.E. Degrees in Applied Physics from Hokkaido University, Sapporo, Japan, in 99 and 994, respectively. He joined the Research and Development Center, Toshiba Corporation, Kawasaki, Japan, in 994. He is engaged in the research and development of high density and high speed interconnection technology. Mr. Tateyama is a member of the IEICE. Mr. Kazuhito Higuchi received.e. and M.E. Degrees in Electrical Engineering from Tokai University, Japan, in 989 and 99, respectively. He joined Materials and Devices Research Laboratories, Toshiba Corporation in 99. Since then, he has been primarily engaged in research and development on high density multichip module technology. Mr. Higuchi is a member of IMAPS. The International Journal of Microcircuits and Electronic Packaging, Volume, Number, First Quarter 998 (ISSN )

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Kazuki Tateyama, Hiroshi Ubukata*, Yoji Yamaoka*, Kuniaki Takahashi*, Hiroshi Yamada** and Masayuki Saito

More information

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate Minehiro Itagaki, Nobuhiro Hase, Satoru Yuhaku, Yoshihiro Bessho and

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

Flip Chip MPU Module Using High Performance Printed Circuit Board ALIVH

Flip Chip MPU Module Using High Performance Printed Circuit Board ALIVH Flip Chip MPU Module Using High Performance Printed Circuit Board ALIVH Flip Chip MPU Module Using High Performance Printed Circuit Board ALIVH Tsukasa Shiraishi, Kazuyoshi Amami, Yoshiriro Bessho, Kazunori

More information

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H. Page 1 of 9 Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* The Authors S.-W. Lee, J.H. Lau** S.-W. Lee, Center for Advanced Engineering

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

Manufacturing and Reliability Modelling

Manufacturing and Reliability Modelling Manufacturing and Reliability Modelling Silicon Chip C Bailey University of Greenwich London, England Printed Circuit Board Airflow Temperature Stress at end of Reflow Stress Product Performance in-service

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation.

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. B. Salam +, N. N. Ekere, D. Rajkumar Electronics Manufacturing Engineering

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Key words: microprocessor integrated heat sink Electronic Packaging Material, Thermal Management, Thermal Conductivity, CTE, Lightweight

Key words: microprocessor integrated heat sink Electronic Packaging Material, Thermal Management, Thermal Conductivity, CTE, Lightweight Aluminum Silicon Carbide (AlSiC) Microprocessor Lids and Heat Sinks for Integrated Thermal Management Solutions Mark A. Occhionero, Robert A. Hay, Richard W. Adams, Kevin P. Fennessy, and Glenn Sundberg

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S.

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Henkel Electronic Materials Agenda 1. Introduction 2. Motivation 3. Interconnect

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Atmosphere Effect on Soldering of Flip Chip Assemblies. C. C. Dong Air Products and Chemicals, Inc. U.S.A.

Atmosphere Effect on Soldering of Flip Chip Assemblies. C. C. Dong Air Products and Chemicals, Inc. U.S.A. Atmosphere Effect on Soldering of Flip Chip Assemblies C. C. Dong Air Products and Chemicals, Inc. U.S.A. Atmosphere Effect on Soldering of Flip Chip Assemblies Abstract An experimental study was conducted

More information

System Level Effects on Solder Joint Reliability

System Level Effects on Solder Joint Reliability System Level Effects on Solder Joint Reliability Maxim Serebreni 2004 2010 Outline Thermo-mechanical Fatigue of solder interconnects Shear and tensile effects on Solder Fatigue Effect of Glass Style on

More information

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging Y. T. Lin Graduate Assistant C. T. Peng Graduate Assistant K. N. Chiang Associate Professor e-mail: Knchiang@pme.nthu.edu.tw Dept. of Power Mechanical Engineering, National Tsing Hua University, HsinChu

More information

High Density PoP (Package-on-Package) and Package Stacking Development

High Density PoP (Package-on-Package) and Package Stacking Development High Density PoP (Package-on-Package) and Package Stacking Development Moody Dreiza, Akito Yoshida, *Kazuo Ishibashi, **Tadashi Maeda, Amkor Technology Inc. 1900 South Price Road, Chandler, AZ 85248, U.S.A.

More information

New Technology for High-Density LSI Mounting in Consumer Products

New Technology for High-Density LSI Mounting in Consumer Products New Technology for High-Density Mounting in Consumer Products V Hidehiko Kira V Akira Takashima V Yukio Ozaki (Manuscript received May 29, 2006) The ongoing trend toward downsizing and the growing sophistication

More information

Solder joint reliability of cavity-down plastic ball grid array assemblies

Solder joint reliability of cavity-down plastic ball grid array assemblies cavity-down plastic ball grid array S.-W. Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo Alto,

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

LGIT CSP Application NOTE. (Lighting)

LGIT CSP Application NOTE. (Lighting) LGIT CSP Application NOTE (Lighting) TABLE OF CONTENTS 1. LGIT CSP Detail -------------- P2 2. LGIT CSP PCB Design -------------- P3 2.1. LGIT CSP Footprint and PCB Pattern -------------- P3 2.2. PCB Substrate

More information

Three-Dimensional Flow Analysis of a Thermosetting. Compound during Mold Filling

Three-Dimensional Flow Analysis of a Thermosetting. Compound during Mold Filling Three-Dimensional Flow Analysis of a Thermosetting Compound during Mold Filling Junichi Saeki and Tsutomu Kono Production Engineering Research Laboratory, Hitachi Ltd. 292, Yoshida-cho, Totsuka-ku, Yokohama,

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

IPC-AJ-820A Assembly and Joining Handbook. The How and Why of All Things PCB & PCA

IPC-AJ-820A Assembly and Joining Handbook. The How and Why of All Things PCB & PCA IPC-AJ-820A Assembly and Joining Handbook The How and Why of All Things PCB & PCA 1 Scope To provide guidelines and supporting info for the mfg of electronic equipment To explain the HOW TO and WHY Discussions

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 333 338 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS As originally published in the SMTA Proceedings. EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS Neil Poole, Ph.D., Elvira Vasquez, and Brian J. Toleno, Ph.D. Henkel Electronic

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions Tae-Sang Park Mechatronics & Manufacturing Technology Center, Corporate Technology Operations, Samsung Electronics Co., LTD, 416, Maetan-3Dong, Yeongtong-Gu, Suwon-City, Gyeonggi-Do, 443-742, Korea e-mail:

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

Glass Carrier for Fan Out Panel Level Package

Glass Carrier for Fan Out Panel Level Package January 25, 2018 NEWS RELEASE Development of HRDP TM Material for Formation of Ultra-Fine Circuits with Glass Carrier for Fan Out Panel Level Package - Aiming for mass production in collaboration with

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE Zonghe Lai and Johan Liu The Swedish Institute of Production Engineering Research (IVF) S-431 53 Mölndal, Sweden ABSTRACT

More information

Composition/wt% Bal SA2 (SABI) Bal SA3 (SABI + Cu) Bal

Composition/wt% Bal SA2 (SABI) Bal SA3 (SABI + Cu) Bal Improving Thermal Cycle and Mechanical Drop Impact Resistance of a Lead-free Tin-Silver-Bismuth-Indium Solder Alloy with Minor Doping of Copper Additive Takehiro Wada 1, Seiji Tsuchiya 1, Shantanu Joshi

More information

A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION

A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION YINCAE Advanced Materials, LLC WHITE PAPER November 2013 2014 YINCAE Advanced Materials, LLC - All Rights Reserved. YINCAE and the YINCAE

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

Hybrid atomization method suitable for production of fine spherical lead-free solder powder

Hybrid atomization method suitable for production of fine spherical lead-free solder powder NUKLEONIKA 2006;51(Supplement 1):S83 S88 PROCEEDINGS Hybrid atomization method suitable for production of fine spherical lead-free solder powder Kazumi Minagawa, Hideki Kakisawa, Susumu Takamori, Yoshiaki

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Suzuki et al.: Multi-Chip Module Fabricated by W-CSP Method (1/8) Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Takashi Suzuki*, Toshio Tamura*, Atsushi

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

10 Manor Parkway, Suite C Salem, New Hampshire

10 Manor Parkway, Suite C Salem, New Hampshire Micro-Precision Technologies (MPT) is an independent manufacturer of hybrid integrated circuits, multichip modules, and high-precision thick film substrates for the military, medical, avionics, optoelectronics,

More information

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS Stresa, Italy, 26-28 April 2006 J. Iannacci 1,2, J. Tian 1, S.M. Sinaga 1, R. Gaddi 2, A. Gnudi 2, and M. Bartek 1 1) HiTeC-DIMES, Delft University of Technology, Mekelweg 4, 2628 CD Delft, the Netherlands

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Via Filling: Challenges for the Chemistry in the Plating Process

Via Filling: Challenges for the Chemistry in the Plating Process Via Filling: Challenges for the Chemistry in the Plating Process Mike Palazzola Nina Dambrowsky and Stephen Kenny Atotech Deutschland GmbH, Germany Abstract Copper filling of laser drilled blind micro

More information

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee Development of Multi Chip Modules for Extreme Environments by Hyun Joong Lee A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of

More information

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA Materials Transactions, Vol. 49, No. 9 (2008) pp. 2100 to 2106 #2008 The Japan Institute of Metals Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

More information

Warpage Mechanism of Thin Embedded LSI Packages

Warpage Mechanism of Thin Embedded LSI Packages Nakashima et al.: Warpage Mechanism of Thin Embedded LSI Packages (1/10) [Technical Paper] Warpage Mechanism of Thin Embedded LSI Packages Yoshiki Nakashima*, Katsumi Kikuchi*, Kentaro Mori*, Daisuke Ohshima**,

More information

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Materials Transactions, Vol. 43, No. 2 (22) pp. 3234 to 3238 c 22 The Japan Institute of Metals Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Chong-Hee Yu, Kyung-Seob Kim 2, Yong-Bin

More information

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study Krzysztof Dabrowiecki Jörg Behr Overview A little bit of history in applying finite element analysis for probe card

More information

Development of System in Package

Development of System in Package Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article

More information

Low Cost Flip Chip Bumping

Low Cost Flip Chip Bumping Low Cost Flip Bumping Thomas Oppert, Thorsten Teutsch, Elke Zakel Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15 17 D-14641 Nauen, Germany Phone: +49 (0)3321/4495 0 Fax: +49 (0)3321/4495 23

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) 28nm Mobile SoC Copper Pillar Probing Study Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) Overview Introduction to IMC Copper Pillar Implementation at IMC Low force

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Henry M.W. Sze, Marc Papageorge ASAT Limited 14th Floor, QPL Industrial Building, 138 Texaco Road, Tseun Wan, Hong

More information

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT YOUR Strategic TECHNOLOGY PARTNER Wafer Back-End OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP PROTOTYping ENGINEERING TESTING SMT PRODUCTION CHIP ON BOARD SUPPLY CHAIN MANAGEMENT Next Level 0f

More information

EXPERIMENTAL STUDY ON THE EFFECT OF TENSION FOR RUBBER BEARINGS

EXPERIMENTAL STUDY ON THE EFFECT OF TENSION FOR RUBBER BEARINGS EXPERIMENTAL STUDY ON THE EFFECT OF TENSION FOR RUBBER BEARINGS Naoyuki IWABE 1, Mineo TAKAYAMA 2, Nagahide KANI 3 And Akira WADA 4 SUMMARY This paper presents the experimental results from the tension

More information

Component Palladium Lead Finish - Specification Approved by Executive Board 1997-xx-xx August 22 Version

Component Palladium Lead Finish - Specification Approved by Executive Board 1997-xx-xx August 22 Version Component Palladium Lead Finish - Specification Approved by Executive Board 1997-xx-xx August 22 Version Appendices 1. User Commitment Form 2. Supplier Compliance Form Table of contents 1. Background 2.

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Critical Use Conditions and their Effect on the Reliability of Soldered Interconnects in Under the Hood Application

Critical Use Conditions and their Effect on the Reliability of Soldered Interconnects in Under the Hood Application 1 Fraunhofer ISIT, Itzehoe Critical Use Conditions and their Effect on the Reliability of Soldered Interconnects in Under the Hood Application Dr. T. Ahrens and Mr. F. W. Wulff, CEM GmbH, Mr. S. Wiese,

More information

Thermomigration and electromigration in Sn58Bi ball grid array solder joints

Thermomigration and electromigration in Sn58Bi ball grid array solder joints J Mater Sci: Mater Electron (2010) 21:1090 1098 DOI 10.1007/s10854-009-9992-2 Thermomigration and electromigration in Sn58Bi ball grid array solder joints X. Gu K. C. Yung Y. C. Chan Received: 18 August

More information

Design and Assembly Process Implementation of 3D Components

Design and Assembly Process Implementation of 3D Components IPC-7091 Design and Assembly Process Implementation of 3D Components Developed by the 3-D Electronic Packages Subcommittee (B-11) of the Packaged Electronic Components Committee (B-10) of IPC Users of

More information

1/2W, 0805 Low Resistance Chip Resistor (Lead / Halogen free)

1/2W, 0805 Low Resistance Chip Resistor (Lead / Halogen free) 1. Scope 1/2W, 0805 (Lead / Halogen free) This specification applies to 1.2mm x 2.0mm size 1/2W, fixed metal film chip resistors rectangular type for use in electronic equipment. 2. Type Designation RL

More information

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS Chris Bailey, Ph.D. University of Greenwich London, United Kingdom c.bailey@gre.ac.uk ABSTRACT Semiconductor technology

More information

Reliable Miniature Electronic and Optical Interconnects for Low-Volume Applications

Reliable Miniature Electronic and Optical Interconnects for Low-Volume Applications Reliable Miniature Electronic and Optical Interconnects for Low-Volume Applications Guy V. Clatterbaugh, Charles V. Banda, and S. John Lehtonen At some level, all integrated circuit devices, passive devices,

More information

Behaviors of QFN Packages on a Leadframe Strip

Behaviors of QFN Packages on a Leadframe Strip Behaviors of QFN Packages on a Leadframe Strip Eric Ouyang, Billy Ahn, Seng Guan Chow, Anonuevo Dexter, SeonMo Gu, YongHyuk Jeong, JaeMyong Kim STATS ChipPAC Inc 46429 Landing Parkway, Fremont, CA 94538,

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Fraunhofer IZM Berlin

Fraunhofer IZM Berlin Fraunhofer IZM Berlin Advanced Packaging for High Power LEDs Dr. Rafael Jordan SIIT Agenda Gluing Soldering Sintering Transient Liquid Phase Bonding/Soldering Thermo Compression Junction Temperature Measurements

More information

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish Julie Silk 1, Jianbiao Pan 2, Mike Powers 1 1 Agilent Technologies, 1400 Fountaingrove Parkway, Santa Rosa,

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

Transducers and Arrays for Medical

Transducers and Arrays for Medical High Resolution Ultrasound Transducers and Arrays for Medical Imaging Applications Tim Button Applied Functional Materials Ltd Birmingham, UK T W Button, F Dauchy, L Garcia-Gancedo, Gancedo H Hughes, Y

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates

Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates Minja Penttilä, Kauppi Kujala Nokia Mobile Phones, Research and Technology Access Itamerenkatu

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY PART B, VOL. 20, NO. 1, FEBRUARY

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY PART B, VOL. 20, NO. 1, FEBRUARY IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY PART B, VOL. 20, NO. 1, FEBRUARY 1997 87 Effect of Intermetallic Compounds on the Thermal Fatigue of Surface Mount Solder Joints

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints

Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints Effect of Encapsulation Materials on Tensile Stress during Thermo-Mechanical Cycling of Pb-Free Solder Joints Maxim Serebreni, Dr. Nathan Blattau, Dr. Gilad Sharon, Dr. Craig Hillman DfR Solutions Beltsville,

More information