Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Size: px
Start display at page:

Download "Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009"

Transcription

1 Balancing Technical and Business Challenges in CMP R&D Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

2 Outline Background and Business Climate for CMP STORM Development CMP Applications and Examples Conclusions 2

3 Balancing Act COST Technology 3

4 Interconnects at Intel Interconnect Technology CMP Evolution 1000 nm Two Our Al Expertise, Metal layers, BPSG 350 nm Four Al metal layers, W polish, PSG 500 nm ILD planarization, W plugs w etch back 180 nm STI, 6 Al Metal layers 250 nm STI, Five Al metal layers, SiOF Process, Application, Equipment, & Slurry Evolve, but not as much on Pads Source: Courtesy of Ken Cadien Former Intel fellow 130 nm 3 6 Cu Layers, PMD, W, STI 65 nm 4 11 Cu Layers PMD, W, STI, OSG 90 nm 3 9 Cu Layers, PMD, W, STI OrganoSilicate Glass (OSG) CMP Applications Oxide Polish Pre-Metal Dielectric Interlevel Dielectric STI Polish Poly Polish Tungsten Polish Copper Polish Barrier Polish High k Gate 4

5 Driving Forces Today Since 2005, consumer products have become primary industry driver. Source: 2007 Industry Strategy Symposium Hans Stork, CTO, Texas Instruments Short product life cycles. Consumers demand More for Less. Consumers demand More in Less Space. Source: 2007 Industry Strategy Symposium Steve Newberry, CEO, Lam Research Corporation Contributing factors for Moore s Law device shrinks, multi-level stacks & larger wafers. Result = Fierce Competition + Control Unit Costs + Develop Technology Fast + Ramp Volume Quickly 5

6 Competitive Advantage Revenue Loss from Being Late to Market Acceleration with CMP Outsourcing: Scenario 1: First time CMP implementation Customer Internal Technology Integration Project: Equipment Purchase & Delivery Design, Integrate, Optimize & Quality CMP Implementation with Entrepix: Optimize & Qualify Ramp Customer Generating Revenue Ramp Strategic Factors in the IC Industry, FSA Forum, June 05 Dr. Handel Jones, Chairman & CEO IBS, Inc. Project Phases Scenario 2: CMP capacity expansion Customer Internal Capacity Expansion Project: Equipment Purchase & Delivery Qualify Ramp Customer Gen. Rev. CMP Capacity Expansion with Entrepix: Qualify Ramp Customer Generating Revenue Scenario 3: CMP burst or flex capacity absorption Customer Internal Capacity Expansion Project: Equipment Purchase & Delivery Qualify Ramp Customer Gen. Rev. CMP Capacity Expansion by IDM already qualified at Entrepix: Ramp Customer Generating Revenue Scenario 4: CMP technology improvement or cost reduction Customer Internal Capacity Expansion Project: Develop, Optimize & Qualify Ramp Customer Generating Revenue CMP Capacity Expansion with Entrepix: Qualify Ramp Customer Generating Revenue TIME 6

7 Business Realities Time IS Money Labor cost + cycles of learning + opportunity cost Competition in most markets is fierce Quality & reliability can not be compromised Each process module must be efficient 7

8 Business Response Minimize Manufacturing Costs Benchmarking Yield Enhancement Optimize Unit Processes Focus on Efficiencies Preserve Capital Extend Equipment Life Keep Depreciated Fabs R&D Consortia Install Less Overcapacity Delay Capital Expenditures Accelerate Development While Reducing Costs Reduce Cycles of Learning Extend Proven Technologies Lower % of Engineering Wafer Starts Leverage Outside Expertise 8

9 Applications for CMP Continue to Expand Numerous complex puzzles Qty Qty Qty 5 CMOS CMOS CMOS New Apps Substrate/Epi Glass (oxide) Glass (oxide) Glass (oxide) Doped Oxides GaAs Tungsten Tungsten Tungsten Nitrides GaN Copper Copper NiFe & NiFeCo InP Shallow Trench Shallow Trench Noble Metals CdTe & HgCdTe Polysilicon Polysilicon Al & Stainless Ge and SiGe Low k Polymers SiC Cap Ultra Low k Ultra Thin Wafers Diamond & DLC Metal Gates Direct Wafer Bond Si & Reclaim Gate Insulators Through Si Vias SOI High k Dielectrics 3-D Packaging Quartz Ir & Pt Electrodes MEMS Titanium Magnetics Nanodevices Integrated Optics Each application of CMP requires an optimized process that meets both performance and cost targets 9

10 Comprehensive CMP Solution #1 Accelerate Time to Revenue #2 Reduce Cost and Risk 10

11 CMP Metrics Five key metrics for a CMP process Removal Rate and Uniformity Defectivity Planarization (step height, dishing/erosion, surface roughness, etc.) Process Stability (consistent performance from wafer-to-wafer) Cost per Wafer 11

12 CMP Development CMP Development Sequence Generate Test Wafers Consumables Screening Process DOE's Optimize Uniformity Optimize Planarity Optimize Defectivity Repeatability (multiple runs) Stability (marathon) Release for Device Qualification Zoom in on CMP process development Screening Tests Assumes fundamentals of pad/slurry research are already done by suppliers Test wafer availability and quality often impact timeline, validity of results, etc. Optimization Initial process DOE s generally focus on removal rate and gross surface quality Optimization stages can be interchanged or executed in parallel Repeatability Planarity can mean step height, dishing, erosion, roughness, etc. depending on the material and intended application Marathon Failure at any stage usually means backing up at least one stage to try again 12

13 STORM STORM Screening Tests Optimization Repeatability A proven approach to successfully developing new CMP processes Marathon 13

14 Intro to CMOS Example Project launched to develop a planarized integration for an existing facility running mostly 0.5um and larger devices which did not require CMP. Integration included 2 levels of oxide CMP (PMD and ILD) and 2 levels of tungsten CMP (contact and via1). Initial estimate was roughly 24 months to purchase, install, and qualify CMP equipment plus develop the integration and be ready for production ramp. By leveraging an outsource CMP provider, integration work was started almost immediately and executed in parallel with the equipment lead time. 14

15 Timeline Comparison Key aspects of predicted time savings: Development could begin as soon as test wafers were ready. Equipment purchase, lead time, and installation in parallel. Faster cycles of learning, fewer wafers, lower cost compared to internal. Project Phases Initial Project Timeline for Tool Purchase and Internal Development Production Ramp Qualification Development Install Equip. Purchase Timeframe Acceleration = 12+ months Adjusted Project Timeline with CMP Outsource through Entrepix: Production Ramp Volume Production Revenue Enabled Qualification Development Install Equip. Purchase 3 mos 6 mos 9 mos 12 mos 15 mos 18 mos 21 mos 24 mos 27 mos 30 mos Time 15

16 Timeline Detail Detailed Timeline for CMP Process Module Development Patt. Wafers Blanket Wfrs CMP Lab Days Week #1 Week #2 Week #3 Week #4 Week #5 Week #6 Week #7 Week #8 Week #9 Week #10 Week #11 Week #12 Week #13 Week #14 Task or Milestone Details Phase 1: PMD Planarization Duration ~6-8 wks X Generate test wafers BPSG X CMP process - Initial characterization X Polar evaluation of results X PMD 2nd round optimization X Week #15 Week #16 Week #17 Week #18 Week #19 Week #20 Week #21 Week #22 Week #23 Week #24 Week #25 Week #26 Week #27 Week #28 Week #29 Week #30 Week #31 Week #32 Week #33 Week #34 Week #35 Week #36 Week #37 Week #38 Week #39 Week #40 Week #41 Week #42 Phase 2: Tungsten Contacts Duration ~8 wks X Mask layout and photo optimization X Generate test wafers 3rd party tungsten CVD X CMP process - Initial characterization Incl. SEM X-sections X Polar evaluation of results X Contact 2nd round optimization X Phase 3: ILD1 Planarization Duration ~6 wks X Generate test wafers X CMP process - Initial characterization X Polar evaluation of results X ILD 2nd round optimization X Phase 4: Tungsten Vias Duration ~6 wks X Mask layout and photo optimization X Generate test wafers 3rd party tungsten CVD X CMP process - Initial characterization X Polar evaluation of results X Via 2nd round optimization X Prototype Run (Begin Qual Lots) Duration 4-6 wks X Mask layout and photo optimization X Verification of entire process flow X Evaluation of prototype devices In-line and EOL (ongoing) Polish processes developed: 4 (PMD, W Contact, ILD, W Via1) Total patterned wafers: < 125 Total blanket test wafers: < 200 Total CMP lab shifts: < 12 16

17 Issues Resolved As might be expected, a few issues were encountered during the project. Examples are given below and further detail is provided in a few cases. Issue Composition and thickness of ILD dielectric layer Alignment marks (inconsistent contrast on wafers with CMP) Pattern density effects Ti/TiN liner and CVD W deposition thicknesses Poor contact fill (seen on first contact lot) High NMOS leakage and poor p- field inversion How Resolved Technical inputs from Entrepix with confirmation on 1 st engineering lot Technical dialogue between Entrepix and customer engineering team Verbal description of effects confirmed with data from test structures adjustments made in design rules Starting point suggestions followed by optimization on 1 st and 2 nd engineering lots Suggestions from Entrepix and Novellus helped solve issue in one cycle of learning (Traced to insufficient strip after contact etch) Changed PMD dielectric composition from TEOS to PSG or BPSG 17

18 Issue #1 High Rc Hollow contact Improved contact Hollow contacts with high resistance on first lot. Initial brainstorming between customer and outsource provider led to short list of likely causes. 4485A W-PLUG 3.JPG 4485A NOTCH A1.JPG Resolved with one round of optimization. Resolution involved optimizing post etch strip and was confirmed on next product lot. 18

19 Issue #2 - Leakage The first integration lot showed unexpectedly high NMOS leakage and p-field inversion issues. Technical brainstorming identified trapped charge in TEOS layer as a possible cause of the observed issue. NMOS leakage by PMD oxide Result BPSG PSG TEOS PMD glass composition P-field inversion by PMD oxide TEOS BPSG Normal Quantile BPSG 40 Split lot data confirms that changing to either BPSG or PSG for pre-metal dielectric resolves both issues. Result BPSG PSG TEOS TEOS PMD glass composition Normal Quantile 19

20 CMOS Summary By leveraging the capabilities of an outsource CMP provider, the project timeline for developing a 0.35 um integration in a fab was accelerated by roughly one year. Acceleration was driven by two primary factors. First, the team did not have to wait on internal CMP equipment to be purchased and installed, thus avoiding 6-9 months of delay. Second, several key cycles of learning were assisted by insights and guidance from the external technical staff. Substantial benefits and time savings realized through effective utilization of CMP outsourcing. 20

21 MEMS over CMOS Key Process Metrics & Constraints Metric Incoming Value Post-CMP Target Actual Oxide film thickness 6.5 um 3.0 um 3.02 um Step Height 2.8 um < 0.4 um 0.2 um Removal Rate (um/min) n/a Critical Concerns: Thick oxide layer over CMOS Final topography must be < 0.4um Smooth No sharp corners anywhere Batch to batch consistency Removal Rate (Ang/min) Run # Photos downloaded from web sites, including Sandia National Lab 21

22 Direct Wafer Bonding Example #1: TEOS on X Material Stack Incoming Ra (A) Post-CMP Ra (A) Oxide surfaces tend to bond well when polished to sufficiently low Ra TEOS on Silicon 7 3 Incoming roughness driven by surface prep of underlying material Sufficient oxide thickness must be deposited to remove at least 2x initial peak-to-valley roughness TEOS on SiC TEOS on Polysilicon TEOS on AlN TEOS on Metal Example #2: Inlaid Cu in TEOS Incoming topography >2.5 ka Flat across Goal of <200 A total topography Feature POST-CMP TOPOGRAPHY ACHIEVED Angstroms 22

23 3D Flash Example Scalability of the floating gate approach for NAND Flash appears to be coming to an end Floating gate interference, inability to scale tunnel oxide, and interpoly dielectric scaling fails Issues likely insurmountable in range of nm Some are proposing Charge Trap Flash (CTF) TANOS Bit-Cost Scalable (BiCS) Flash 23

24 Challenges with CTF Inversion Layer V read-pass V read V read-pass ONO To Bitline N+ N+ N+ N+ V read-pass > Vt prog + margin V prog-pass > Vt prog + margin Pass disturbs on selected string Apply to both lateral and vertical NAND CTF 24

25 Wish List for 3D WISH LIST FOR 3D MONOLITHIC FLASH Laterally scalable Easily stackable Reasonable program/erase voltages High program bandwidth Good endurance Good retention MLC capability All at low cost 25

26 Schiltron Design Inversion Layer V read 2 nd Gate ONO To Bitline N+ N+ N+ N+ 1 st Gate V read-pass Off V read-pass Double-gate approach Close electrostatic interaction for short channel control and lateral scalability Electrical shielding of memory charge from pass voltages 26

27 World s Smallest DG-TFT 48nm gatelength CMP2 350 A channel thickness CMP1 27

28 String Structure CMP1 CMP2 XTEM perpendicular to wordline gate direction 28

29 Conclusions Efficient development of new products is required for any device manufacturer to remain competitive CMP process development can be done efficiently as a sequence of stages (STORM) Screening Tests Optimization Repeatability Marathon Creative approaches can enable all of the following: Accelerate timelines Preserve capital Reduce cost and risk 29

30 Contact Info Anyone desiring further information please contact: Rob Rhoades Chief Technology Officer Tel: Fax:

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 New CMP Applications And Opportunities for Improvement Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 Outline Background TSV s Diamond CMP Opportunities for Improvement Summary

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT? Hardware and Process Solutions to Evolving CMP Needs - or - CMP Challenges How Can We Polish THAT? Robert L. Rhoades (Entrepix) and Paul M. Feeney (Axus Technology) Presented at TechXPOT North - Semicon

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Slurry Design Evolution Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Outline Introduction to Slurry Design Birth of a Sub-Industry (Early Years) Slurry Evolution and Revolution

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Integrated Circuit Engineering Corporation EPROM

Integrated Circuit Engineering Corporation EPROM EPROM There was lots of discussion and many technical papers covering the promises of EPROM (typically Flash) at the IEDM conference last December, but here as in the other memory areas, not much in the

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Engineered Substrates

Engineered Substrates Engineered Substrates Engineered Substrates Using the NanoCleave TM Process Francois J. Henley President and CEO Silicon Genesis Corporation San Jose, California SiGen Presentation Outline Engineered Substrates

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Altera EPM7128SQC EPLD

Altera EPM7128SQC EPLD Construction Analysis Altera EPM7128SQC160-15 EPLD Report Number: SCA 9712-569 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

NANOMANUFACTURING TECHNOLOGY

NANOMANUFACTURING TECHNOLOGY NANOMANUFACTURING TECHNOLOGY NAS/SSSC Spring Meeting April 2, 2009 Moore's Law and Transistor Scaling Bits/Chip 1T 45nm 90nm 1G 0.25um 1um 1M 1K 1975 1985 1995 2005 2015 DSP AA Battery Hours 100 50 0 0

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Integrated Circuit Engineering Corporation. DRAMs

Integrated Circuit Engineering Corporation. DRAMs DRAMs As generally known, the focus of technology in this product category continues to be complex vertical polysilicon structures to reduce cell area. This not only pushes the limits of deposition and

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Renesas M5M40R326 32Mbit DRAM Memory Structural Analysis

Renesas M5M40R326 32Mbit DRAM Memory Structural Analysis August 13, 2004 Renesas M5M40R326 32Mbit DRAM Memory Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Construction Analysis Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Report Number: SCA 9412-394 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

NKK NR4645LQF Bit RISC Microprocessor

NKK NR4645LQF Bit RISC Microprocessor Construction Analysis NKK NR4645LQF-133 64-Bit RISC Microprocessor Report Number: SCA 9707-547 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9870

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

W Metallization in a 3-D Memory

W Metallization in a 3-D Memory W Metallization in a 3-D Memory December 8, 2005 Michael Konevecki, Usha Raghuram, Victoria Eckert, Vance Dunton, Brad Herner & Steve Radigan 3-D Memory Cells Matrix memory cells consist of a memory element

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition See Beyond the Horizon Linx Consulting Inc. Pu b l i s h e d 3 Q 2 0 1 8 CMP TECHNOLOGIES and MARKETS to the 5 nm NODE Eighth Edition Uncertainty around true materials requirements is a huge risk for leading

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corporation 2012 Analyst & Investor Meeting 1 Safe Harbor Statement This presentation contains certain forward looking statements, including, our ability to execute our growth strategies,

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Xilinx XC4036XL-1C FPGA

Xilinx XC4036XL-1C FPGA Construction Analysis Xilinx XC4036XL-1C FPGA Report Number: SCA 9709-553 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

UMC UM F-7 2M-Bit SRAM

UMC UM F-7 2M-Bit SRAM Construction Analysis UMC UM 613264F-7 2M-Bit SRAM Report Number: SCA 9609-511 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Lattice 3256A-90LM PLD

Lattice 3256A-90LM PLD Construction Analysis PLD Report Number: SCA 9705-538 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781 e-mail: ice@ice-corp.com

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information