Modeling for DFM / DFY

Size: px
Start display at page:

Download "Modeling for DFM / DFY"

Transcription

1 Modeling for DFM / DFY A Foundry Perspective Walter Ng Senior Director, Platform Alliances 5/24/ Chartered Semiconductor Manufacturing Ltd. All rights reserved. No part or parts hereof may be reproduced, modified, adapted, distributed, republished, displayed, broadcast, transmitted in any manner or means or stored in an information retrieval system without the prior written permission of Chartered Semiconductor Manufacturing Ltd.

2 The Great Divide Before n n1 Masses Δt1 Early Adopters n1 > n2 Less Early Adopters Δt2 >> Δt1 Greater Time Separation Now n n2 Masses Δt2 t Early Adopters t 2

3 The Challenge - Today s Product Cycles Steeper product ramps, shorter dwell in market Product Life Most Product Roadmaps - Obsolete own existing products with improved derivative or new product Volume Missing a Product ramp may have significant impact on a company s market share Typical of consumer applications: Graphics, Gaming, Communications, Networking, etc. < 100 days Time 3

4 Today s State-of-the-Art Foundries Fab7 Automation Architecture Scheduling Adexa Plant Special Processing (SPSR) Control Systems Preventative Maintenance System Reticle Management System Mfg Reporting System Data Analysis System Yield Reporting System Information Handling IYS Yield Automation Real Time Dispatcher Material Control System (MCS) Automation/ Workflow Manager YMS Recipe Management System ACE XP Alarm & OCAP Management Reporting In-line Planner & APC (DataMart) Defect (JMP) Material Wafer System ET SI View SPC Manufacturing Execution System Mgmt (MES) Control Integrated Sleuth Yield Systems (IYS) dataconductor WIP Eqpt Mgt FDC Run-to- System System System Equipment Carrier Mgt Statistical Fault & Real-time Run-to-Run Flow Mgt (include User Mgt Detection & Yield Mgt Defect Mgt Mgt Run (FOUP) Process Lot Control databases Wafer Sleuth NPW) Classification System System Control (FDC) & (APC) System SPC Control History (KLA-ACE) (KLArity) Business Rules (SPC) ediagnostics System Reporting SPACE Brookside Catalyst KLArity Xsite CIM, SiView MES Database Real-time databases Off-line databasessystem Datamining ACE XP Equipment Integration SPACE Manager (EIM) & Data Collection Material Handling Automation icare Stocker Controller Stocker Inter-bay AMHS Controller Sort & Final Test Data Inter-bay AMHS Intra-bay AMHS Controller Intra-bay AMHS Process Reliability Monitor Data Reticle Stocker (Pod & Bare) Integrated Stocker-Sorter SMS Limited Yield Modeling Load Port Load Port Process Eqpt Load Port OHT Load Port In-line Metrology & inline E-test Eqpt Off-line databases Load Port OHT Load Port Setup Metrology Eqpt Load Port Load Port Support Eqpt Load Port Web Portal Load Port 4

5 Process Characterization PDF CV Test Chip Suite BEOL BEOL CV CV (CA,M1,Mx,Mx) (CA,M1,Mx,Mx) CA induced M1 short CA induced M1 FEOL short FEOL 2 2 CV CV (AA (AA to to M1, M1, M2, M2, Mx) Mx) Metal CMP Metal CMP MC CA Marginality (Misalign, size, etc.) Printability evaluation MC (M1 CA -> Marginality M3) (Misalign, size, etc.) Printability evaluation Salicide (M1 -> M3) FEOL FEOL 1 1 CV CV (AA (AA to to M1) M1) Topography effects Salicide Poly, AA defectivity Topography effects Printability evaluation (up to Poly, M1) AA defectivity Consumables improvement Printability evaluation (up to M1) CA defectivity (short/open) Poly Poly CV CV (AA (AA and and PC) PC) Consumables improvement Other Systematics (NP Transitions, CA defectivity etc) (short/open) BEOL defectivity Other Systematics (NP Transitions, etc) PC defectivity (incl. triple gate oxide) MC defectivity PC defectivity (incl. triple gate oxide) BEOL defectivity Reduced Defectivity Observability MC defectivity Pull and test after M1 Reduced Defectivity Observability Pull and test after M1 STI topography (and M2) Contact Stacks STI topography (and M2) Contact Stacks STI seam Very Limited Marginaility STI seam pdfastest pdfastest Very Limited Marginaility PC ECD variations Pull and test after M1 PC ECD variations Parametric Parametric (Agilent) (Agilent) Pull Test Test and test after M1 Salicide resistance Salicide resistance pdfastest Printability evaluation (PC) Printability evaluation (PC) Pull Pull and and test test after after M1, M1, Mx, Mx, Mx Mx pdfastest pdfastest pdfastest Parametric Parametric (Agilent) (Agilent) Pull Pull Tester Tester and and test test after after salicide salicide pdfastest pdfastest P D YRS Fab and Test datapower WAMA CV Infrastructu re pdfastest CV test chips pdcv Process Learning Process- Design Integration Knowledge Base Product Design Circuit Surfer YRS pdfx D Build yield infrastructure using PDF CV Characterization vehicles advantages: Short cycle time in process feedback Able to identify process issue Enhance process margin P 5

6 Design to Manufacturing to Test COT Model Challenge Design Manufacturing Testing RTL Synthesis Design Planning Block Design DFT ATPG Chip Physical Assembly Extraction Verification Mask OPC ORC Prototype Tape out Testing Yield Analysis Failure Analysis Design for Manufacturing / Design for Yield DFT DFT Design to Manufacturing to Test Hand-off Coordination more critical than ever before More Manufacturing and Testing concerns addressed as part of Design DFY / DFM Manufacturing Models being made available to customers Tools and Technologies being validated and customer enabled Manufacturing tools and control improving significantly DFT Traditional Test Insertion done as part of design As a part of Test and Failure Analysis, DFT needs to be able to support Faster Yield Ramps 6

7 Motivations for DFM Models Chip Designers need to achieve Design Closure Timing, power, signal Integrity and now manufacturability Chip Designers need to be able to predict timing and electrical performance accurately The traditional approach of worst case static-timing analysis is becoming unacceptably conservative Chip Designers have increasing concerns on Types of significant (first order) process variation drivers What are they? How these are measured? How these are modeled? How these process variations are modeled and used or can be used in design automation tools and flows 7

8 Sources of Process Variation (FEOL) CD Variation Exposure System Lens aberration, flare, etc. Within-die exposure dose non-uniformity Mechanical Performance Vibrations in reticle scanning and wafer scanning stages, etc. Focus Variations Illumination Performance Mask Errors Polarization control of the laser Puncture, Burr, Blotch Resist Effects Line Edge Roughness Vth Variation Mainly due to random dopant fluctuations in the channel region. These fluctuations affect the threshold voltage of the individual devices independently. Pelgrom s model can also be used to describe the standard deviation due to random dopant fluctuations. Overlap Capacitance CGSO/CGDO represent the overlap capacitances between the source/drain and the gate Slide courtesy of Samsung 8

9 Source of Process Variation (BEOL) Each Layer and Via variation modeling Thickness variation model (CMP effect) Width variation model (Litho effect) CMP/Litho simulation Lithography effect Slide courtesy of Samsung 9

10 Variation Models radius > die size 4 Die-to-die [4] Effective radius > die size Systematic [1][2] Effective radius < 2-3 mm Random [3] Effective radius < device size 1 2 radius ~ 2-3 mm radius < device size 3 Slide courtesy of Samsung Device model parameters such as Vth, Tox and source/drain sheet resistance are varied to reflect parametric process variation effect on circuits. Less attractive due to growing complexity of the manufacturing process and increased sensitivity of the circuit design to these variations 10

11 Process Variations in Today s Models Device Level Interconnect Level Process Variation Contributors on interconnect Type Modeling At Present Short term Long term At Present Design Implementation Short term Long term Litho Etch CMP Optical defocus Photo resist Wide Edge Effects (biasing, trapezoidal, dishing, erosion) Dielectric deposition and polishing Systematic Systematic Systematic IA Spec at process corners: Per layer di thickness wctyp-bc Per layer cu thickness wctyp-bc Upgrade IA Spec with WEE table: Replace a single value with a lookup table Litho model for silicon image prediction CMP model for thickness prediction and parasitic extraction Closure at wc & bc corners with OCV derating factors Advance extraction Model base extraction Metal deposition and polishing Systematic Wearing of consumable parts Systematic Statistical model? Statistical analysis 11

12 DFM Parasitic Extraction (PEX) Extraction of nanometer effects supported by DFM PEX S1 W S2 Metal Metal Biasing (Resistance vs space & width) (bias vs space & width) Trapezoidal metal (Resistance vs space & width) M1 M1 Metal Metal Dishing/Erosion (thickness vs density) (thickness vs space & width) gate Substrate Contact Capacitance (minimum space between gate and via) 12

13 DFM PEX for 90nm 13

14 DFM Technology Kit Model Support DFM Focus Area Tool Vendor (Primary tool) Rule / Model Based DFM Rule Checking Decks Mentor (CalibreYA) Rule Critical Area Analysis Litho. Simulation CMP Simulation DFM Aware Chip Integration Flow Simultaneous Leakage Reduction and Yield Optimization Statistical STA Ponte (YA) Mentor Mentor (LFD) Clearshape (In-shape) Cadence CP Synopsys Magma Cadence Blaze-MO TBD Model Model Model Model Model Rule / Model Rule / Model Rule / Model Rule / Model Model 14

15 Summary One of the key challenges in supporting leading edge tape outs is requirement for very fast product yield ramp. This requires as much of a first-time right methodology and very fast test, failure analysis and repair capability. Progress is being made in the DFY/DFM area. Manufacturers like the Common Platform have been qualifying and enabling promising tools and technologies in this area by supporting DFM models Supporting models based technologies are not easy because they require running adequate silicon on a relatively stable process Challenges In Model Development Cost Significant Capital Costs in Foundry, Shrinking Wafer Margins Timeline Process stability Lack of Model Standards (i.e. no standard in Litho simulation model) Unwillingness of cross-eda cooperation Logistics of Managing Model Updates Industry requires progress in Model or Model Interface Standards to substantially proliferate Model-based DFM 15

16 16

17 Critical Area Analysis Models Critical Area Visual Hot Spots Typical CAA flow Fail Rates Item Unit Mature Ramp - Early MC on GP Open (ppb) MC on Active Open (ppb) ACT Open (/cm²) ACT Short (/cm²) GP Open (/cm²) GP Short (/cm²) M1 Open (/cm²) M1 Short (/cm²) Critical Area Reporting Layout GDSII (DRC cleaned) Fail rate for CAA (from Si) CAA (Ponte YA) 1) Critical area extraction Slide courtesy of Ponte Solutions 17 2) Visualization 3) Yield scoring 4) Priority list to fix

18 CMP Modeling Test Wafer GDSII Fabrication Measurement Lab CMP Analysis Measurement Database CMP model Cu thickness, Oxide thickness, Surface height Slide courtesy of Cadence 18

19 Basic Components of Statistical STA Library Development Spice Netlist Design Flow Logic Synthesis Cell Layouts Silicon Variation Models Statistical Cell Characterization CMP Simulation Litho Simulation Length/Width Tox/Vt variation SSTA Cell Library Each Layer s width & thickness Variation Statistical RC Extraction Statistical Static Timing Analysis Netlist Physical Design RC Extraction Static Timing Verification Physical Verification Statistical Optimization 19

20 Litho Simulation Model Development 20

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

半導體生產自動化與 智慧工廠 UMC/IT 王邦明 5/22/2012

半導體生產自動化與 智慧工廠 UMC/IT 王邦明 5/22/2012 半導體生產自動化與 智慧工廠 UMC/IT 王邦明 5/22/2012 Contents 半導體產業資訊系統 半導體生產自動化系統 半導體智慧工廠的需求 半導體智慧工廠的新挑戰 P. 2 Information System of Foundry Planning Applications Production Information EDA AMAS Reporting Enterprise Applications

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Impact of Litho on Design

Impact of Litho on Design Impact of Litho on Design Srini Raghvendra Senior Director DFM Solutions Synopsys Inc. Acknowledgements Dan Page Mike Rieger Paul vanadrichem Jeff Mayhew 2006 Synopsys, Inc. (2) Subwavelength Litho Requires

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

TECHNOLOGY ROADMAP 2005 EDITION FACTORY INTEGRATION FOR

TECHNOLOGY ROADMAP 2005 EDITION FACTORY INTEGRATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION FACTORY INTEGRATION THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013 The Role of Wafer Foundries in Next Generation Packaging David McCann, VP Packaging R+D May 28, 2013 Page 1 Solutions are Increasingly Silicon-Based RF moves from QFN to wlcsp Driven by footprint and cost

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Herb Reiter eda 2 asic Consulting, Inc. IMAPS, Oct 9 12 & MEPTEC, Nov 13, 2017 Herb@eda2asic.com IMAPS 50 th

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Building the 21 st Century Integrated Silicon Photonics Ecosystem Building the 21 st Century Integrated Silicon Photonics Ecosystem Integrated Photonics is about data and sensing VISION Establish technology, business, and education framework for industry, government,

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION FACTORY INTEGRATION THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

COVENTOR PREDICTING ACTUAL FROM VIRTUAL COVENTOR PREDICTING ACTUAL FROM VIRTUAL Virtual Fabrication Changing the Trajectory of Chip Manufacturing Sandy Wen Semiconductor Process & Integration July 12, 2017 AT A GLANCE MARKET LEADER in 3D modeling

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing Nangate 45nm Open Cell Library Jesper Knudsen VP Marketing 12 th Si2/OpenAccess+ Conference, April 16 th, 2008 Presentation Outline Why did Nangate release an Open Cell Library? Why is Library control

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

MODELING LOT ROUTING SOFTWARE THROUGH DISCRETE-EVENT SIMULATION. Chad D. DeJong Thomas Jefferson

MODELING LOT ROUTING SOFTWARE THROUGH DISCRETE-EVENT SIMULATION. Chad D. DeJong Thomas Jefferson Proceedings of the 1999 Winter Simulation Conference P. A. Farrington, H. B. Nembhard, D. T. Sturrock, and G. W. Evans, eds. MODELING LOT ROUTING SOFTWARE THROUGH DISCRETE-EVENT SIMULATION Chad D. DeJong

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION TABLE OF CONTENTS Scope... 1 Difficult Challenges... 2 Complexity Management... 3 Factory Optimization... 3 Extendibility,

More information

If it moves, chop it in half, then simulate it

If it moves, chop it in half, then simulate it Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Early Prediction of Product Performance and Yield Via Technology Benchmark

Early Prediction of Product Performance and Yield Via Technology Benchmark Early Prediction of Product Performance and Yield Via Technology Benchmark Choongyeun Cho 1, Daeik D. Kim 1, Jonghae Kim 2, Daihyun Lim 1, Sangyeun Cho 3 1 IBM, 2 Qualcomm, 3 U. Pittsburgh Background Process

More information

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597 2007 IEEE International Conference on Robotics and Automation Roma, Italy, 10-14 April 2007 WeE10.4 Jonathan, Chang Yung-Cheng Member, IEEE Institute of Manufacturing Engineering National Cheng Kung University

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

Be a step ahead! IT your fab! Company Presentation

Be a step ahead! IT your fab! Company Presentation Be a step ahead! IT your fab! Company Presentation Target markets of acp-it acp-it portfolio is focused on clients with complex and highly automated production environments. Production IT with Photovoltaic

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

SEMICON Japan. e-manufacturing Workshop Introduction. Harvey Wohlwend Harvey.Wohlwend ismi.sematech.org

SEMICON Japan. e-manufacturing Workshop Introduction. Harvey Wohlwend Harvey.Wohlwend ismi.sematech.org SEMICON Japan e-manufacturing Workshop Introduction Harvey Wohlwend Harvey.Wohlwend ismi.sematech.org Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

NANOMANUFACTURING TECHNOLOGY

NANOMANUFACTURING TECHNOLOGY NANOMANUFACTURING TECHNOLOGY NAS/SSSC Spring Meeting April 2, 2009 Moore's Law and Transistor Scaling Bits/Chip 1T 45nm 90nm 1G 0.25um 1um 1M 1K 1975 1985 1995 2005 2015 DSP AA Battery Hours 100 50 0 0

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales LED Production Yield Improvement Through Advanced In-Situ Metrology Tom Thieme director marketing & sales 2 Outline - Introduction - In-situ metrology the concept - Up-time: fault detection and rapid root

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information