Silicide-Based Release of High Aspect-Ratio Microstructures

Size: px
Start display at page:

Download "Silicide-Based Release of High Aspect-Ratio Microstructures"

Transcription

1 -Based Release of High Aspect-Ratio Microstructures Li-Wen Hung and Clark T.-C. Nguyen Dept. of EECS, University of California at Berkeley Berkeley, CA, USA ABSTRACT A new method for releasing high aspect-ratio microstructures has been demonstrated that utilizes silicidation to form gaps between movable microstructures and their substrates in substantially faster times than conventional sacrificial layer-based release methods and with much less concern for stiction or attack of unintended layers. The key enabling element is the use of a self-sufficient chemical reaction (such as silicidation) to provide volume shrinkage that then induces a gap between surfaces involved in the reaction. Using this -based approach, 260:1 aspect-ratio gaps have been released in less than 2 minutes, which is much shorter than the 40 minutes or more that would otherwise be required by wet-etching. This silicidation-based approach to releasing microstructures greatly increases the achievable lateral dimensions of etch hole-free suspended microstructures and stands to substantially lower the time and cost required to produce such structures. 1. INTRODUCTION Release processes, whereby sacrificial material between two structural materials is removed to free them from one another, often comprise the most limiting and costly steps in MEMS fabrication. Such processes generally employ liquids or gases to etch and are fraught with numerous perils, including stiction [1]; the need for long release times governed by mass transport rates that lengthen as gap aspect-ratio increases [2]; the (ensuing) attack of unintended layers due to finite etchant selectivity; and the formation of etch by-products (e.g., bubbles, gunk) that remain in the gaps. These yield-limiting phenomena often force alterations in MEMS designs (e.g., release holes) that compromise the performance of applications employing high-aspect ratio gaps. Indeed, the set of affected applications is quite large, from inertial sensors that must incorporate mass-reducing etch holes into their proof masses to speed up the release process [3]; to sealed encapsulation chambers, many of which are achieved via cap-to-wafer bonding methods rather than more area-efficient film deposition strategies [4], since the latter often ending up requiring lengthy etches to release structures within the encapsulation; to GHz high- Q mechanical resonators [5], for which the size of the electrode-to-structure gap spacing needed to achieve low impedance and good power handling is limited nearly entirely by the release etch process. This work erases such limits by introducing a new method for forming high aspect ratio gaps between movable (or immovable) structures that avoids etching and its associated drawbacks, entirely. Instead, the method here employs a self-sufficient chemical reaction to provide volume shrinkage that then induces a gap between surfaces involved in the reaction. Using this -based approach, μm 2 membranes with membrane-to-substrate gaps of only 385nm a 260:1 lateral dimension-to-vertical gap aspect ratio have been Table 1 Properties of common s licidation Volume t Temperature t sili Shrinkage δ Ni 2 ~250C % 0.44 Ni ~400 C % 0.62 Ti 2 ~850 C % 0.8 Pt ~500 C % 0.35 Co 2 ~750 C % ~750 C % 0.97 t si = nm of consumed per nm of metal (t m =1) t sili = nm of formed per nm of metal (t m =1) δ = nm of step height change per nm of metal (t m =1) released in only 2 minutes, which is much shorter than the 40 minutes or more that would be required to wetetch a 385nm sacrificial oxide under a membrane of similar size. In addition, 32.5 nm gaps have been formed between a Ge film and a silicon substrate, verifying the efficacy of this method for attaining sub-50nm gaps, such as needed for capacitive RF micromechanical resonators. 2. SILICIDE-INDUCED GAPS The key recognition behind the present work is that etching is not needed to form a gap. Rather, a gap can also form if a method is available for shrinking the volume of a submerged layer. If this method is a chemical reaction, as in this work, then it is preferable that it be self-sufficient such that all the reactants and the products of the reaction remain on the wafer. This self-sufficient property eliminates the need for diffusion paths so eliminates the root of the problem that plagues etch-based release processes. reover, this reaction should be fast and dry to enhance throughput and to avoid problems with stiction and bubble formation. One reaction that satisfies the above criteria is silicidation [6], whereby silicon, the most commonly used material in CMOS and MEMS, reacts under elevated temperatures with a variety of metals to form s. Table 1 [6] lists properties of common s pertinent to volume shrinkage-based gap formation. Here, silicidation temperatures range from 250 C to 850 C depending on the types of metals and on the phases of s. The data also includes information gauging the amount of volume shrinkage afforded by a given silicidation reaction, assuming a starting metal sitting flush atop a silicon substrate, as illustrated in the schematic above Table 1. Fig. 1 illustrates one method by which silicidation can be used to form a submerged gap. Here, 10nm of molybdenum () is sandwiched between silicon () below and a capping layer of oxide above. Upon heating via rapid thermal annealing in a low oxygen environment, the and react to form 2, whereby 10x2.56 =25.6nm of silicon is consumed to form 10x2.59 =25.9nm of 2. This means the step height changes

2 oxide or nitride metal Anneal PECVD = nm 500nm licon Substrate Fig. 1: Process flow and SEM cross-section of a 192nm air gap formed between oxide and. Here, 200nm- reacts with silicon but not oxide at the anneal temperature. a- or poly- metal Anneal 300 nm = nm PECVD Amorphous licon licon Substrate Fig. 2: Process flow and SEM cross-section of a 128nm air gap formed between amorphous silicon and. Here, 150nm forms s unevenly on both sides due to differences in single-crystal and amorphous silicidation behavior. from the 10nm original metal thickness to now only =0.3nm, leaving a =9.7nm gap between the top of the and the bottom of the oxide cap. The advantages of this -based approach over etch-based release processes are numerous and include: 1) Greatly reduces the time needed for release, since the time required is governed by a single interface reaction time rather than by lateral transport rates; 2) Avoids damage to structures not involved in the release, which is an important limiting side-effect of sacrificial layer-based approaches, where the etchant used often has a finite selectivity to other surrounding layers, so attacks and removes them; 3) Avoids surface tension-based sticking or problems with bubble formation during release that commonly plague wet release approaches; 4) Allows the formation of gaps in sealed chambers, i.e., no need for access routes. 3. EXPERIMENTS Fig. 1 and Fig. 2 summarize processes used for basic demonstration of -induced gaps. In Fig. 1, 200nm of sputtered molybdenum is patterned by wet etching into strips, followed by 600nm of PECVD capping oxide deposited at 300 C. After just one minute of annealing at 750 C, a gap forms when volume shrinkage during silicidation pulls the d silicon surface away from the capping oxide. The rounding at the gap edges results from rounding of the original metal corners during isotropic wet etching. The gaps essentially take on the shape of the metal layer, and the shape of is a mirror image of the metal relative to the silicon surface. The process of Fig. 2 differs from that of Fig. 1 only in the use of a semiconductor top layer, where PECVD amorphous silicon is used instead of oxide. Otherwise, the silicidation procedure is essentially the same, except that now s form on both the top and bottom surfaces of the gap. This process has the advantage of allowing a conductive semiconductor structural material, which is needed for electrostatic transduction. For applications where silicidation at the bottom of the conductive 200 nm Fig. 3: SEM cross-section showing a roughened surface caused by thin oxide at the silicon-metal interface. 10um 100um = nm 2um microstructure is not desired, e.g., due to stress imbalance issues, it can be prevented by depositing a thin layer of dielectric between the microstructure and the metal, similar to the thin side-wall spacers used in CMOS to prevent silicidation on the polysilicon gate [7]. For applications where sub-10nm dielectric layers can compromise device performance, such as capacitively transduced high-q resonators and switches, conductive materials that do not react with metal at the silicidation temperatures can be used as structural layers. Some good candidates include silicon carbide, polycrystalline diamond, or metals with higher silicidation temperatures. For repeatable and high quality formation, the interface between the metal and semiconductor must be clean and native-oxide-free. To insure this, a buffered hydrofluoric acid (BHF) dip and slight in-situ sputter etch precede the actual metal sputtering step in the above processes. The importance of doing this is immediately apparent when oxide is present at the interface. In particular, when samples before metal deposition are shortly dipped in piranha instead of BHF with no sputter etch, and the same thermal annealing conditions applied, silicidation either does not happen or the surface becomes very rough, as shown in Fig. 3. To gauge the permissible temperature ceiling during process steps before and after the silicidation anneal, over- samples were heated in a conventional oven at 350 C for 6 hours before and after the one minute 750 C silicidation anneal, with no change in step height versus a -over- sample without extra thermal treatments. This confirms that microstructures can be released at the very end of a process as long as processing temperatures after metal deposition do not exceed the silicidation temperature. reover, once the is formed, thermal processes generally do not change the size of the gap. re General Geometries Mere patterning of the capping layer before the silicidation anneal yields suspended movable structures with (c) (d) 1um Fig. 4: SEM cross-sections of a 100μm-wide 410nm-thick oxide diaphragm suspended 385nm above the substrate and a zoom-in. The original thickness was 400nm. (c) and (d): Smaller diaphragms released in the same annealing step.

3 Capping Channel Width = 15um Channel Height = 0.8um Ge 10um Fig. 5: Cross-sectional SEM of an array of oxide-capped micro-channels on a silicon substrate fabricated via induced release. Release Cantilever = 32.5 nm 200 nm Fig. 8: SEM cross-section of a 32.5nm gap formed between a bottom 2 and a top Ge capping layer. Even smaller gaps should be achievable via a thinner metal layer. 1um 1.2um amorphous -Sub Fig. 6: SEM of a 1.2μm-thick oxide cantilever separated from the substrate by a -induced gap. Fig. 7: SEM of a released folded-beam comb-driven structure with springs bent by a probe tip. Fig. 9: A -induced gap formed over a 1.2um PECVD amorphous silicon film deposited at 300 C. more general geometries. Figs. 4-7 present images of various patterned oxide structures released via the described approach, including a μm 2 membrane with a 260:1 lateral dimension-to-vertical gap aspect ratio and membranes with smaller sizes formed by the same one minute thermal anneal step (Fig. 4); an array of 15µm-wide, 0.8μm-high, 9mm-long microchannels that attest to the repeatability of this approach (Fig. 5); a 20μm-long, 2μm-wide oxide cantilever (Fig. 6), and a folded-beam comb-driven resonator with springs bent by a probe, confirming that they are released (Fig. 7). Successful release of such compliant microstructures is possible because silicidation is a dry process, so does not suffer from stiction. In all cases, the release anneal takes no more than two minutes, and no etchant is consumed, making this approach much faster and cheaper than etch-based release methods. Tiny s. Among the most powerful capabilities provided by silicidation is its ability to achieve tiny sub-50nm gaps, which are essential to vibrating RF micromechanical resonators using capacitive transduction. Fig. 8 shows a 32.5nm gap underneath a Ge capping layer, achieved via a silicidation reaction between metal and the underlying silicon substrate, with comparatively little reaction with the capping Ge layer. The thirty seconds required for silicidation contrasts sharply with the 40+ minutes of wet-etching often required for small-gapped RF micromechanical resonators [5]. Indeed, release times required by etch-based release processes increase with gap aspect-ratio, while those needed for based release remain virtually the same. Clearly, the described -based release process scales much better than etch-based counterparts. The smallest achievable gap is ultimately limited by the surface roughness of the which is generally on the order of 10nm or less for nickel [8], titanium [9], and cobalt [10]. spacings this small should be very helpful towards capacitively transduced resonators with the sub-50ω impedances desired by conventional wireless circuits. Although silicidation occurred with the silicon substrate and not the Ge in this process, it should be noted that -induced release is not limited to silicidation with single crystal silicon, but also works with amorphous and polycrystalline systems, and with semiconductor materials other than silicon, e.g., Ge. For example, Fig. 9 presents a gap formed between a top oxide capping layer and a 1.2μm PECVD amorphous-silicon layer deposited at 300 C. This confirms that the described -based release process can be applied to MEMS/NEMS built atop CMOS or on substrates other than silicon, such as glass, as long as a thin layer of semiconductor is available. It also suggests methods by which -based release can form lateral gaps, perhaps using PECVD and/or atomic layer deposition (ALD) methods to deposit conformal lateral semiconductor and metal films with well-controlled thicknesses at temperatures lower than the silicidation temperature. 4. APPLICATIONS To demonstrate the use of -induced gaps in a practical application, the three-mask process shown in Fig. 10 uses titanium (Ti) as a structural material and as the siliciding metal to fabricate beam resonators. Here, is sputter deposited after a short in-situ sputter etch to remove native oxide, then patterned using a commercial aluminum wet etchant (pre-mixed phosphoric and acetic acid mixture) at 50 C. Next, 300nm of 300 C PECVD oxide isolation liner is deposited and patterned via a BHF dip, followed by sputter deposition of 700nm of Ti structural material at 300 C. The Ti is then dry etched via a Cl 2 /BCl 3 plasma, with the etch stopping on the underlying or oxide layer. The structure is finally released via a one minute rapid thermal anneal step. As shown in Fig. 10, 2 forms the ground plane/drive electrode for this clamped-clamped beam, and the oxide layer prevents the beam from shorting with the. Fig. 11 presents the SEM of a released 320μm-long,

4 (c) Ti (d) Ti 2 Fig. 10: Process flow yielding a titanium clamped-clamped beam released via silicidation: Sputter and pattern molybdenum over silicon substrate; deposit 300nm 300 C PECVD oxide and remove over device areas and bond pads; (c) sputter and pattern 700nm titanium structural material; and (d) release via rapid thermal annealing at 750 C. Fig. 12: Mixing measurement setup and measured resonant peak under vacuum for a Ti clamped-clamped beam released via silicidation, with Q=1,082. Here, P RF =10dBm (network analyzer output), V LO =10V P-P, and V P =20V. Sacrificial Material Etch Holes Permeable Material Sealing Layer 30um Titanium Beam (a-1) Structure Sub. Sacrificial Metal (a-2) Sub. Package or Frame Released Structure Material Probe tip Fig. 11: SEM of a 320μm-long, 3μm-wide, 700nm-thick titanium clamped-clamped beam using the process of Fig. 10. Bending via a probe tip confirming release. 3μm-wide Ti clamped-clamped beam displaced laterally by a probe tip. Fig. 12 further presents the mixingmeasured [5] frequency characteristic (in vacuum) for a 20μm-long, 2μm-wide beam with 150nm electrode-toresonator vertical gap spacing, confirming functionality of the beam and applicability of the -based release process in an actual application. 5. CONCLUSIONS AND FUTURE WORK By utilizing a volume shrinkage-based method for forming gaps between structural layers and thereby avoiding the need for etching, the -based release process demonstrated in this work is cheaper, cleaner, and faster than conventional etch-based methods, as it requires no etching, consumes no chemicals, and has little dependence on mass transport processes. Using this method, structures with aspect-ratios exceeding 260:1 have been released in less than two minutes substantially less than the 40 minutes otherwise required by an etch-based release process. Although this work features s based on, it should be understood that any of the metals in Table 1 could also be used. But the benefits of silicidation methods go far beyond mere release of high-aspect ratio gaps. In particular, the fact that silicidation is a heat-induced and selfsufficient reaction that does not require a diffusion path suggests the possibility for localized and post-package release without any need for release holes in the package structure. To expand upon this, Fig. 13 [11] presents one conventional approach to wafer-level encapsulation where release holes in the encapsulating cap are needed to allow release etchants to access a sacrificial layer that completely encases the structure under the cap. nce the diffusion paths are quite tortuous, the time required for release is generally quite long and etch by-products have difficulty diffusing out. On top of this, the need to seal the etch holes can compromise both the package and device within (if the sealant also deposits on the device). In contrast, silicidation (requiring no diffusion path) (b-1) Sub. (b-2) Sub. Fig. 13: Cross-sectional summaries of a conventional thinfilm package where (a-1) etch holes provide access for sacrificial material removal and (a-2) a deposition step is required to seal; and a -based release packaging method that obviates the need for etch holes and sealing that comprises (b- 1) deposition and patterning of a proper succession of semiconductors and metals and (b-2) a short silicidation anneal. can form gaps submerged beneath the cap layer with no need for etch holes, as shown in Fig. 13. The packaging process would require just minutes of anneal time and would be local to areas with the right metalsemiconductor pairings. Efforts towards post-package and release of capacitive resonators are ongoing. ACKNOWLEDGMENT. This work was supported by DARPA. REFERENCES. [1] R. Maboudian and R. T. Howe, Critical review: Adhesion in surface micromechanical structures, J. Vacuum Sci. Technol., vol. B15, no. 1, pp. 1 20, Jan./Feb [2] C. Torres-Raya, et. al, Fabrication, chemical etching, J. Mater. Res., vol. 23, no. 12, Dec [3] I. Lee, et. al, "Development and analysis of the vertical capacitive accelerometer," Sensors and Actuators A: Physical, vol. 119, pp. 8-18, [4] K. R. Lee, et. al, Fabrication of capacitive absolute pressure sensor using -Au, J. Phys.: Conf., ser , [5] J. Wang, et al., GHz, IEEE Trans. Ultrason., Ferroelect., Freq. Contr., vol. 51, pp , Dec [6] K. Maex and M. Rossum, Properties of Metal s, INSPEC Publication, [7] J. J. SUNG and C.-Y. Lu, Limitation of spacer thickness, IEEE Electron Device Lett., vol. 10, pp , [8] M. Bhaskaran, et. al, "Characterization of nickel thin films by spectroscopy," Micron, vol. 40, pp , [9] C. Lavoie, et al., Surface roughening during titanium formation... Proceedings of MRS, vol. 440, pp , [10] G.-P. Ru, et al., "Surface and interface morphology of Co 2," Materials Characterization, vol. 48, pp , [11] K. S. Lebouitz, et al. "Vacuum encapsulation of resonant devices using permeable polysilicon," MEMS'99, pp

5

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source GABLECH Imrich 1,*, SVATOŠ Vojtěch 1,, PRÁŠEK Jan 1,, HUBÁLEK Jaromír

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic

Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Paper Tensile Testing of Polycrystalline Silicon Thin Films Using Electrostatic Force Grip Member Toshiyuki Tsuchiya (Toyota Central Labs., Inc.) Member Osamu Tabata (Ritsumeikan University) Jiro Sakata

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

ASIM-X MEMS-Specific Design Rules

ASIM-X MEMS-Specific Design Rules ASIM-X MEMS-Specific Design Rules Version 2 Revised April 5, 2006. This is a beta version, subject to change. Revised by G. K. Fedder, Carnegie Mellon University. 1 Process Overview ASIM-X, an acronym

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

MEMS prototyping using RF sputtered films

MEMS prototyping using RF sputtered films Indian Journal of Pure & Applied Physics Vol. 45, April 2007, pp. 326-331 MEMS prototyping using RF sputtered films Sudhir Chandra, Vivekanand Bhatt, Ravindra Singh, Preeti Sharma & Prem Pal* Centre for

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

Lecture 3: Integrated Processes

Lecture 3: Integrated Processes Lecture 3: Integrated Processes Single-Crystal Silicon Process Integration Polysilicon Micromachining Process Integrated CMOS Micromachining Process ENE 5400, Spring 2004 1 Single Crystal Silicon ENE 5400,

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Metal bonding Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Timing (delete before presentation) Introduction (Outline, available bonding techniques, evaluation of metal bondings)-3

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Passive TCF Compensation in High Q Silicon Micromechanical Resonators

Passive TCF Compensation in High Q Silicon Micromechanical Resonators Passive TCF Compensation in High Q Silicon Micromechanical Resonators A.K. Samarao, G. Casinovi and F. Ayazi IEEE International Conference on Micro Electro Mechanical Systems pp. 116 119, January 2010

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding PUBLICATION B Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding Journal of The Electrochemical Society, Vol. 153, No. 1, (2006), pp. G78 G82. Reprinted by permission of ECS The Electrochemical

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Micromachining AMT 2505

Micromachining AMT 2505 Micromachining AMT 2505 Shanmuga Raja.B (BVB0912004) Module leader : Mr. Raja Hussain Introduction Micromachining are inherently connected to the evolution of Micro Electro Mechanical Systems (MEMS). Decades

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society Seal and encapsulate cavities for complementary metal-oxide-semiconductor microelectromechanical system thermoelectric power generators Jin Xie a Institute of Microelectronics, Agency for Science, Technology

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Fabrication of phosphorus doped polysilicon thinfilm strain gauges using a 50 microns silicon substrate thickness

Fabrication of phosphorus doped polysilicon thinfilm strain gauges using a 50 microns silicon substrate thickness Journal of Physics: Conference Series Fabrication of phosphorus doped polysilicon thinfilm strain gauges using a 50 microns silicon substrate thickness To cite this article: A L Siarkowski et al 2013 J.

More information

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections LaVern Starman 1, *, John Walton 1, Harris Hall 1 and Robert Lake 2 1 Sensors Directorate,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Technology Drivers for Plasma Prior to Wire Bonding

Technology Drivers for Plasma Prior to Wire Bonding Technology Drivers for Plasma Prior to Wire Bonding James D. Getty Nordson MARCH Concord, CA, USA info@nordsonmarch.com Technology Drivers for Plasma Prior to Wire Bonding Page 1 ABSTRACT Advanced packaging

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon phys. stat. sol. (c) 2, No. 9, 3198 3202 (2005) / DOI 10.1002/pssc.200461110 Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon G. Barillaro *, P. Bruschi, A. Diligenti,

More information

Total Points = 110 possible (graded out of 100)

Total Points = 110 possible (graded out of 100) Lab Report 1 Table of Contents 1. Profiles & Layout (9 Points) 2. Process Procedures (20 points) 3. Calculations (36 Points) 4. Questions (35 Points) 5. Bonus Questions (10 Points) Total Points = 110 possible

More information

Probing Interfacial Contact via MEMS-based Microinstrumentation

Probing Interfacial Contact via MEMS-based Microinstrumentation Probing Interfacial Contact via MEMS-based Microinstrumentation Roya Maboudian Department of Chemical & Biomolecular Engineering Berkeley Sensor and Actuator Center (BSAC) Center of Integrated Nanomechanical

More information

DEC SA-110S StrongARM 32-Bit Microprocessor

DEC SA-110S StrongARM 32-Bit Microprocessor Construction Analysis DEC SA-110S StrongARM 32-Bit Microprocessor Report Number: SCA 9704-535 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures Saravanan. S, Erwin Berenschot, Gijs Krijnen and Miko Elwenspoek Transducers Science and Technology Laboratory University

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Interconnect Issues for Integrated MEMS Technology

Interconnect Issues for Integrated MEMS Technology Interconnect Issues for Integrated MEMS Technology Tsu-Jae King, Roger T. Howe *, Marie-Ange Eyoum and Sunil A. Bhave * Dept. of Electrical Engineering and Computer Sciences, * Berkeley Sensor and Actuator

More information

PECVD SiO 2 SACRIFICIAL LAYERS FOR FABRICATION OF FREE - STANDING POLYSILICON FILAMENTS

PECVD SiO 2 SACRIFICIAL LAYERS FOR FABRICATION OF FREE - STANDING POLYSILICON FILAMENTS PECVD SiO 2 SACRIFICIAL LAYERS FOR FABRICATION OF FREE - STANDING POLYSILICON FILAMENTS Eliphas W. Simões 1, Rogério Furlan 2, Nilton I. Morimoto 3, Olivier Bonnaud 4*, Ana N. R. da Silva 5, Maria L. P.

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Chapter 2 Capacitive Sensing Electrodes

Chapter 2 Capacitive Sensing Electrodes Chapter 2 Capacitive Sensing Electrodes The capacitive sensing electrodes on the top of a CMOS chip serve as an interface between the microelectronic readout system and the biological/chemical analyte.

More information