Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections

Size: px
Start display at page:

Download "Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections"

Transcription

1 Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections Chinmay Honrao, Ting-Chia Huang, Makoto Kobayashi#, Vanessa Smet, P. Markondeya Raj, and Rao Tummala 3D Systems Packaging Research Center, Georgia Institute of Technology 813 Ferst Dr NW, Atlanta, GA # Namics Corporation, 3993 Nigorikawa, Kita-ku, Niigata City, Niigata Prefecture , Japan chinmay.honrao@gatech.edu / Phone: Abstract Emerging 2.5D and 3D package-integration technologies for mobile and high-performance applications are primarily limited by advances in ultra-short and fine-pitch off-chip interconnections. A range of technologies are being pursued to advance interconnections, most notably with direct Cu-Cu interconnections or Cu pillars with solder caps. While manufacturability is still a major concern for the Cu-Cu interconnections technologies, the copper-solder approaches face limitations due to solder-bridging at fine-pitch, electromigration, and reliability issues. Thus, novel lowtemperature, low-pressure, high-throughput, cost-effective and manufacturable technologies are needed to enable interconnections with pitches finer than 15 microns. This paper focuses on an innovative multi-layered coppersolder stack approach to achieve fine-pitch off-chip interconnections with no residual solders after assembly. Interconnections using this new technology enable higher current-handling because of the stable intermetallics, highthroughput assembly, and high yield even at low stand-off heights. The elimination of solder-intermetallic (IMC) interfaces is also expected to enhance the joint strength. This paper describes the design, fabrication, assembly and characterization of such stacked copper-solder interconnections. A detailed study of the effect of bonding parameters such as temperature and time on the rate of formation of stable Cu-IMC-Cu structures is presented. Testvehicles were designed and fabricated as the first demonstration of this technology. Introduction The I/O density, speed and bandwidth requirements for emerging mobile and high-performance systems are projected to drive the off-chip interconnection pitch to less than 20μm by 2015 [1]. Various flip-chip interconnection materials and processes have been developed over the past two decades to meet the need for higher I/Os and enhanced electrical performance. Lead-free solder bumps have been serving the industry for the past 10 years, but face shortcomings for emerging fine-pitch applications because of issues such as solder-bridging and electromigration. Direct copper-copper interconnections without solders have the highest current-handling and lowest pitch capabilities. However, there are fundamental challenges associated with direct copper-copper bonding that include a high temperature solid-state bonding process, inability to accommodate non-planarity and non-uniformity of /14/$ IEEE interconnection bumps, and complex processes that are required for the removal of residual oxides on the copper surfaces prior to bonding [2]. The Georgia Tech-Packaging Research Center recently made pioneering advances and patented a low-temperature copper-copper thermocompression bonding process at less than 200 oc, and demonstrated HAST, TCT and electro-migration reliability at 30μm pitch using 10-15μm copper bumps [3]. The 30μm pitch copper interconnections showed stable resistance for more than 1000 hours even at 106A/cm2, proving the high currentcarrying capability [4]. The copper pillar and solder-cap approach combines some of the advantages of both copper and solder bump technologies, and is the preferred option from the manufacturability standpoint. Paik et al. recently demonstrated reliability of 40μm pitch Cu-SnAg interconnections with a stand-off height of 20μm using anhydride-based NCFs [5]. However, the current interconnection approaches using this technology are not scalable to finer pitches as a result of electromigration and reliability issues arising with decreased solder content. Being a low-strength and low-fatigue resistance material, the solder strains increase with decreased solder height. The formation of copper-tin intermetallics leads to stresses at the IMC (brittle)-solder (ductile) interfaces, which get further aggravated at smaller stand-off heights and lower solder volumes. Solid Liquid Inter-Diffusion (SLID) bonding is being explored as a promising approach to overcome some of the challenges previously faced by copper-solder interconnections. This technology is based on the rapid formation of intermetallics between a high melting component (in this case, Cu) and a low melting component (in this case, Sn solder) at a temperature above the melting point of the latter [6]. At this temperature, the copper diffuses into the liquid tin at a very high rate, leading to much faster IMC growth as compared to that in case of solid tin. The reliability performance of SLID bonding has also been investigated and reported. Labie et al. demonstrated electromigration testing of 20μm diameter, Cu-Sn SLIDbonded chip-chip interconnections at a current density of 6.3x104A/cm2 at 150oC [7]. No failures were observed till 1000 hours of current stressing. Chang et al. demonstrated pressure-assisted SLID bonding of 20μm pitch micro-bumps consisting of a 4μm copper-pillar and a 4μm tin-cap structure, using a post-curing step at a temperature of 150oC for 30 minutes [8]. These interconnections were shown to be Electronic Components & Technology Conference

2 reliable over more than 1000 cycles of thermal cycling. SLID bonding, however, faces certain process challenges. IMC formation, being a diffusion driven process, requires long assembly or post-annealing processes for a complete conversion of solders to stable intermetallics. Infineon Technologies developed a chip-stacking process based on SLID bonding called SOLID-F2F, in which two chips are bonded in the F2F (face-to-face) orientation [9]. The bonding was completed in two steps, an initial soldering step at 260 oc for 1 minute, during which the Sn solder was completely converted to Cu6Sn5 intermetallics. This was followed by a 20-minute-anneal at 300oC to convert the Cu6Sn5 into Cu3Sn. For 30μm- pitch interconnections formed using this method, they successfully demonstrated 1000 hours of temperature cycling between -650C and 1500C without any significant increase in daisy-chain resistance [10]. A novel approach, based on a combination of SLID bonding and alternate stacking of copper-solder layers, for faster conversion of copper-solder to thermally-stable and electromigration-resistant intermetallics is proposed. Figure 1 schematically shows the proposed interconnection approach as compared to the current approach. Ideally, the thickness of individual copper and tin layers should be as small as possible for lowest diffusion distances. This, however, is restricted by the process capability for copper and tin electroplating. A very thin layer of tin results in insufficient wetting due to instant solidification of the tin upon melting. From previous literature, it was observed that the tin thickness used for SLID bonding is usually in the range from 1-4μm. Based on the process capability of the available copper and tin electroplating setup, a 1.5μm thick tin layer was chosen for the bump structure. Based on the thickness ratio previously calculated, the corresponding thickness of the copper layer was 2μm. The thickness of the initial copper layer was chosen to be 5μm as this layer is responsible for providing adhesion to the seed layer, and as such, cannot be completely consumed to form IMCs. For the final tin layer, the thickness was chosen to be 3μm to ensure all bumps land on the substrate. A total of three layers each of copper and tin were chosen to be stacked alternately, resulting in a final bump height of 15μm. Figure 2 shows the final configuration of the bump for the coppersolder stacked interconnections approach. Figure 2. Bump configuration for copper-solder stacked interconnections Figure 1. Current and proposed approaches for coppersolder interconnections The key advantages of such a technology are: (i) higher electromigration resistance compared to traditional coppersolder approaches, (ii) high throughput assembly at ultra-fine pitch and low stand-off height without facing challenges such as solder-bridging and solder-cracking, (iii) lower bonding temperatures and pressures as compared to that used for CuCu interconnections, and (iv) enhanced thermal and mechanical stability due to the elimination of solder/imc interfaces. A first demonstration of this technology is presented along with design, fabrication, assembly and characterization results. Intermetallic formation between copper and tin is a diffusion-limited process. During the bonding, Cu initially reacts with Sn to form Cu6Sn5. Due to the high diffusion rate of Cu into liquid Sn, complete conversion of Sn into Cu6Sn5 is achieved in a few seconds [6]. Cu3Sn formation requires solid-state interdiffusion between Cu and the previously formed Cu6Sn5. As such, the Cu3Sn IMC formation can be modeled using a parabolic law which is based on Fick s first law of diffusion, where the interdiffusion coefficient can be calculated using the Arrhenius relationship. The parabolic law and the Arrhenius relationship used are shown in Equation (I). Modeling and Design The Cu/Sn stacked structure should enable SLID bonding with stable intermetallics using a short assembly time. This section models the Cu/Sn structure to accomplish this. Presence of silver in the solder inhibits the formation of intermetallics. Since this approach requires faster formation of intermetallics, pure tin was used as the solder. Based on the atomic weights and densities of copper and tin, the minimum thickness ratio of the copper and tin layers was calculated to be 1.3 for conversion of tin to Cu3Sn Equation (I)

3 The assumptions made while simulating the intermetallic growth were (i) constant concentration of the diffusing species at the inter-layer boundaries, and (ii) constant concentrationgradient along the inter-layer. Values for the activation energy for the formation of Cu3Sn (Q) and its intrinsic diffusivity (k0) were taken from previous studies based on the growth of copper-tin intermetallics. These values differ with processing techniques, and the values selected for this study were applicable to thin films of copper and tin. The Q and k 0 values used were 66.1kJ/mol and 5.3E-8m2/s respectively [6]. Modeling the IMC formation is of importance as it provides an estimation of the bonding temperature and time needed for complete conversion of solder to Cu3Sn using the above bump configuration. Based on the parabolic law, Arrhenius relationship and the values for Q and k0 it was calculated that 2μm of Cu3Sn could be formed in 5 minutes at a temperature of 250oC. Fabrication of Copper-Solder Multi-layer Stack As a proof-of-concept, 80μm pitch interconnections with multi-layered copper-tin stack were fabricated. The process was completed in two photo-lithography steps, one for the routing layer and the other for the bumps. Figure 3 gives an overview of the fabrication process. routing layer. Finally, Enthone PC 4025 was used to strip the photoresist. The same photoresist was used for patterning the bumping layer. Photoresist lamination, exposure and development steps were similar to the ones followed for patterning the routing layer. After patterning, the wafers were plasma-cleaned, before continuing with the plating process. Copper and tin were plated alternately to obtain the copper-tin stacked structure. Cupracid TP chemistry was used for plating copper while tin was plated using the Stannobond FC chemistry, both provided by Atotech. The wafers were thoroughly rinsed and dried after electroplating each layer of the bump, so as not to contaminate the two plating baths. The current densities used for copper and tin electroplating were 15mA/cm2 and 20mA/cm2 respectively, and the resultant plating rates were 0.33μm/min and 1μm/min respectively. The plating time for each layer was determined based on their respective target thicknesses. The plated thickness was measured after each plating step using the Dektak Profilometer. After completing the plating, the photoresist was removed using the Enthone PC 4025 stripper solution. This was followed by seed-layer etching to remove the underlying copper and tin seed layers. The alternate layers of copper and tin in the fabricated interconnect structure are clearly visible, as can be seen in Figure 4. Figure 4. Copper-solder multi-layer stacked structure Assembly and Characterization of Copper-Solder Stacked Interconnections Figure 3. Process for fabrication of copper-solder multi-layer stacked structure Hitachi RY-5315EB dry-film photoresist having a thickness of 15μm was used for patterning the routing layer. Karl-Suss MA6 Mask Aligner was used to expose the wafers with a dose of 95mJ/cm2 using hard contact, after which they were developed in 3% Na2CO3 solution at 85oC for about 2 minutes. Once the photoresist development was complete, the wafers were plasma-cleaned to remove organic residue, if any, from the openings in the photoresist. Copper was then electroplated through these openings to form a 2-3μm thick Interconnections with 80μm pitch with the traditional copper pillar-solder cap structure were assembled using SLID bonding. SLID bonding assembly has to be performed at a temperature above the melting point of tin, so as to enhance the formation of intermetallics through diffusion of copper in liquid tin. In this study, the aim was to convert the tin to the intermetallics during the assembly process itself. A FINETECH Lambda flip-chip bonder was used to perform for assembly. Pre-applied BNUF was used to minimize the process defects and further improve the reliability of these interconnections. As determined by the diffusion modeling described previously, the bonding temperature and dwell-time used were 250oC and seconds respectively. Figure 5 shows the temperature profile used for this assembly process. The force applied during bonding was 7.5N, which resulted in an equivalent pressure of 15MPa. 1162

4 Figure 5. Temperature profile used for SLID bonding of copper-solder stacked interconnections Assembled samples were cross-sectioned and characterized using Energy Dispersive X-ray Spectroscopy (EDS) to determine the presence of Cu6Sn5 and Cu3Sn, and to study the thickness of the intermetallics. Results and Discussion 1] IMC Formation Study The diffusion model predicts the formation of 2μm of Cu3Sn in 5 minutes at a bonding temperature of 250 oc in the ideal case. Referring to the Scanning Electron Microscope (SEM) image shown in Figure 6(a), it can be seen that in 5 minutes, Cu3Sn was formed at the top-most and bottom-most interfaces. Cu3Sn thickness was about 1μm at both interfaces while the joint was mainly composed by Cu6Sn5. Moreover, the intermediate Cu layer originally plated between the solder layers was no longer observed. With extended assembly time of 15 minutes, larger amounts of Cu3Sn were observed, while significant amounts of Cu6Sn5 still remained, as seen in Figure 6(b). The different formation mechanisms for these two intermetallics can help in explaining both the absence of intermediate Cu layer, and the lower formation rate of Cu3Sn, as described below. The melting temperatures of Sn, Cu6Sn5 and Cu3Sn are 231.9oC, 415oC and 670oC respectively. The bonding temperature used in this research is 250 oc, which is slightly higher than the melting temperature of the tin solder. By exposing the copper-tin stacked structure to this temperature for a sufficient amount of time, all of the tin is converted to Cu6Sn5. During this liquid-phase reaction, the growth of Cu6Sn5 consists of Cu dissolution and Cu6Sn5 precipitation from molten solder. The dissolution of Cu into molten Sn can be described by Dybkov s analysis [11], where Cs is the solubility of Cu in molten solder at the reaction temperature, C is the current concentration of Cu in molten solder, k is the dissolution rate constant, S is the surface area of Cu pad and V is the volume of molten solder. Figure 6. Cross-sections of stack-plated Cu-Sn interconnections At the early stage of liquid-phase reaction, the term (CsC) will dominate the dissolution rate. In this study, pure tin was used within the stack-plated structure. This implies that the dissolution rate of Cu will be high during the beginning of the liquid-phase reaction. This high dissolution rate of Cu has been demonstrated in previous studies [12-13]. Since the thickness of Cu layer within the stack-plated structure was only 2μm, this dissolution mechanism can explain the absence of the intermediate Cu layer that was originally a part of the stack. As the bonding temperature is below the melting point of the formed Cu6Sn5, it is converted to Cu3Sn only through solid-state diffusion. As a result, the conversion of Cu6Sn5 to Cu3Sn requires much more time than that needed for the formation of Cu6Sn5. Referring to the SEM image and EDS characterization, the joint was mainly composed of Cu6Sn5 even after 900 seconds of bonding with a maximum temperature of 250oC. The solder-based interconnection had not fully transformed into Cu3Sn, but only a mixture of Cu3Sn+Cu6Sn5. This result agrees with previous studies focusing on Cu/Sn/Cu structure. Li et al. [14] found that reflow at 350oC for 90 minutes was required to transform a 25μm Sn layer into Cu6Sn5, but it required another 390 minutes to achieve complete transformation from Cu6Sn5 to Cu3Sn. For a 10μm Sn layer, Cu6Sn5 remained as the main part of the solder joints even after 20 minutes reflow at 250oC [15]. Although the designs varied from each other, all these results indicate that Cu6Sn5 is the main product of liquidphase reaction. The growth rate of Cu3Sn by consuming Cu6Sn5 has been found to be much lower than the rate of formation of Cu6Sn

5 Therefore, 5-15 min of bonding time at 250oC is sufficient to completely eliminate the residual solders, though the most stable Cu3Sn is not yet achieved. Increasing either the temperature or time can lead to the stable Cu3Sn intermetallics. However, due to the lower diffusion distances resulting from the stacked structure of copper and tin, the Cu3Sn formation time will at least be 2-3X smaller with the current approach than what could be achieved with the traditional solder cap structures. 2] Reliability of Cu/IMC joints Solder and copper-tin intermetallics formed during assembly significantly differ in their mechanical properties. IMCs are inherently brittle while solders are ductile in nature. Thus IMC formation is a known reason for stress generation in the interconnection bumps during the cooling down of solder. These stresses are usually concentrated at the interface between the solder and the intermetallics [16]. This has an adverse effect on the interconnection reliability, and this issue needs to be addressed in order to achieve good-quality joints at fine pitches and low stand-off heights. The SLID bonding approach minimizes such stresses at the solder-imc interfaces by eliminating the solder-imc interface itself during an isothermal heat-treatment step. This is achieved by converting all of the solder to IMCs, so as to have uniform composition across the joint. Copper and tin form two intermetallics, Cu6Sn5 and Cu3Sn, the latter being the stable intermetallic. The residual solder after assembly reflow in traditional copper-solder interconnections is susceptible to electromigration and thermal-migration. Cu6Sn5 and Cu3Sn, on the other hand, have been shown to have a higher electromigration resistance and better stability as compared to solder [17]. By completely converting the Sn solder to Cu3Sn, thermodynamic and metallurgical stability in the joints can be achieved. The interfacial shear strength for joints consisting of IMCs has been found to be higher than Sn-dominated joints [18]. Thus, a Cu-IMC-Cu structure is not only highly electromigration-resistant, but also mechanically stable as compared to the Cu-IMC-SnAg-IMC-Cu structure found in traditional copper-solder joints. The solder-free allintermetallic interconnections with Cu-solder SLID bonding are shown to have good electromigration resistance and thermal cycling reliability, as reported earlier [7-9]. The reliability of SLID bonding with the present Cu/Sn stack structures after complete elimination of residual solders is currently being investigated as the next phase of this work. Conclusions An innovative bumping process with alternating copper and tin plating layers to pre-designed thicknesses was developed to fabricate ultra-short, fine-pitch interconnections for 2.5 and 3D interposers and packages. Alternate layers of copper and tin were electroplated on a blanket wafer and at 80 micron pitch, as a first demonstration of this stack-technology. Formation of the intermetallics Cu6Sn5 and Cu3Sn was investigated by SLID-bonding these stack-plated dies with test substrates. The resulting interconnection structures showed a mixture of Cu6Sn5 and Cu3Sn, and no presence of any residual solders, potentially resulting in benefits such as enhanced electromigration resistance and higher joint strength with shorter processing times. With further process development and optimization, this novel copper-solder stacked approach can potentially achieve ultra-short fine-pitch interconnections capable of handling current densities of 105A/cm2 or higher. Acknowledgments The authors are grateful to the industry sponsors and mentors for their funding and technical guidance. The authors would also like to thank the staff at the Packaging Research Center for their help in this research project. References 1. David McCann, Global Foundries. 2. Radu, I. et al., Recent developments of Cu-Cu nonthermocompression bonding for wafer-to-wafer 3D stacking, 3DIC, N. Kumbhat, et al., "Highly-reliable, 30m pitch copper interconnects using nano-acf/ncf," in Electronic Components and Technology Conf, May 2009, pp Khan, S. et al., High Current-Carrying and HighlyReliable 30μm Diameter Cu-Cu Area-Array Interconnections Without Solder, Electronic Components and Technology Conf, May 2009, pp Paik, K. et al, 3D-TSV vertical interconnection method using Cu/SnAg double bumps and B-stage nonconductive adhesives (NCAs), Electronic Components and Technology Conference, May 2012, pp Bader, S. et al, Rapid formation of intermetallic compounds interdiffusion in the Cu Sn and Ni Sn systems, Acta Metallurgica et. Materialia, Jan. 1995, vol. 43, no. 1, pp Labie, R. et al, "Resistance to electromigration of purely intermetallic micro-bump interconnections for 3D-device stacking," Interconnect Technology Conference, June 2008, pp Chang, T.C, et al, "Reliable Microjoints for Chip Stacking Formed by Solid-Liquid Interdiffusion (SLID) Bonding," Components, Packaging and Manufacturing Technology, IEEE Transactions, June 2012, pp Hubner H, Ehrmann O, Eigner M, Gruber W, Klumpp A, Merkel R, Ramm P, Roth M, Weber J, Wieland R (2002) Face-to-face chip integration with full metal interface. In: Melnick BM, Cale TS, Zaima S, Ohta T (eds) Advanced Metallization Conference, San Diego, V18: Hubner H, Penka S, Eigner M, GruberW, Nobis M, Kristen G, Schneegans M, Barchmann B, Janka S (2006) Micro contacts with sub-30 µm pitch for 3D chip-on-chip integration. MAM, Genoble 11. V. I. Dybkov, Growth Kinetics of Chemical Compound Layers, Cambridge International Science, Cambridge, MA, M. O. Alam et al., Cu addition in Sn-3.5%Ag solder on the dissolution rate of Cu metallization, Journal of Applied Physics 94, M. L. Huang, T. Loeher, A. Ostmann and H. Reichl, Role of Cu in dissolution kinetics of Cu metallization in 1164

6 molten Sn-based solders, Applied Physics Letter 86, J. F. Li, P. A. Agyakwa, C. M. Johnson, Interfacial reaction in Cu/Sn/Cu system during the transient liquid phase soldering process, Acta Materialia 59, 2011 H. Y. Chuang, T. L. Yang, M. S. Kuo, Y. J. Chen, J. J. Yu, C. C. Li, and C.R. Kao, Critical Concerns in Soldering Reactions Arising from Space Confinement in 3D IC Packages, Transactions on Device and Materials Reliability 12, Honrao, C. Fine-pitch Cu-SnAg die-to-die and die-tointerposer interconnections using advanced SLID bonding, Smartech, December 2013 Munding, A. et al, "Cu/Sn Solid Liquid Interdiffusion Bonding," Wafer Level 3-D ICs Process Technology Integrated Circuits and Systems, 2008, pp 1-39 Lee et al., Chip to Chip Bonding using Micro-Cu Bumps with Sn Capping Layers, Microelectronics and Packaging Conf, June

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation.

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. B. Salam +, N. N. Ekere, D. Rajkumar Electronics Manufacturing Engineering

More information

THIN IMMERSION TIN USING ORGANIC METALS

THIN IMMERSION TIN USING ORGANIC METALS THIN IMMERSION TIN USING ORGANIC METALS Jim Kenny, Nils Arendt, Bernhard Wessling, and Karl Wengenroth Enthone Inc., A Business of Cookson Electronics West Haven, CT, USA ABSTRACT With the international

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate , July 6-8, 2011, London, U.K. Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate *Yee-Wen Yen 1, Chien-Chung Jao 2, Kuo-Sing Chao 1, Shu-Mei Fu Abstract Sn-9Zn lead-free

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Materials Transactions, Vol. 43, No. 2 (22) pp. 3234 to 3238 c 22 The Japan Institute of Metals Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Chong-Hee Yu, Kyung-Seob Kim 2, Yong-Bin

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

Copper Wire Bonding Technology and Challenges

Copper Wire Bonding Technology and Challenges Copper Wire Bonding Technology and Challenges By Dr Roger Joseph Stierman Date: 21 & 22 October 2013 Venue: SHRDC, Shah Alam, Selangor *2 days training package RM 3,000 per pax [*] * includes hotel accommodation

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Atmosphere Effect on Soldering of Flip Chip Assemblies. C. C. Dong Air Products and Chemicals, Inc. U.S.A.

Atmosphere Effect on Soldering of Flip Chip Assemblies. C. C. Dong Air Products and Chemicals, Inc. U.S.A. Atmosphere Effect on Soldering of Flip Chip Assemblies C. C. Dong Air Products and Chemicals, Inc. U.S.A. Atmosphere Effect on Soldering of Flip Chip Assemblies Abstract An experimental study was conducted

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Evaluation of Cu Pillar Chemistries

Evaluation of Cu Pillar Chemistries Presented at 2016 IMAPS Device Packaging Evaluation of Cu Pillar Chemistries imaps Device Packaging Conference Spring 2016 Matthew Thorseth, Mark Scalisi, Inho Lee, Sang-Min Park, Yil-Hak Lee, Jonathan

More information

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation 2.5D and 3D Semiconductor Package Technology: Evolution and Innovation Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract The electronics industry is experiencing a renaissance

More information

Intermetallic Phase Growth and Reliability of Sn-Ag-Soldered Solar Cell Joints

Intermetallic Phase Growth and Reliability of Sn-Ag-Soldered Solar Cell Joints Vailable online at www.sciencedirect.com Energy Procedia 27 (2012 ) 664 669 SiliconPV 2012, 03-05 April 2012, Leuven, Belgium Intermetallic Phase Growth and Reliability of Sn-Ag-Soldered Solar Cell Joints

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application 2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package pplication Yoshiyuki Hakiri, Katsuhiro Yoshida, Shenghua Li, Makoto Kondoh, Shinjiro Hayashi The Dow Chemical

More information

The Effect of Cu and Ni on the Structure and Properties of the IMC Formed by the Reaction of Liquid Sn-Cu Based Solders with Cu Substrate

The Effect of Cu and Ni on the Structure and Properties of the IMC Formed by the Reaction of Liquid Sn-Cu Based Solders with Cu Substrate WDS'08 Proceedings of Contributed Papers, Part III, 220 224, 2008. ISBN 978-80-7378-067-8 MATFYZPRESS The Effect of Cu and Ni on the Structure and Properties of the IMC Formed by the Reaction of Liquid

More information

Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy

Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy Gordon Elger, Rafael Jordan, Maria v. Suchodoletz and Hermann Oppermann Fraunhofer Institute

More information

Whisker free tin Jan Feb 2012-stripped:Metal Tech Speaking Template USE THIS.qxd 2/24/ :07 AM Page 1

Whisker free tin Jan Feb 2012-stripped:Metal Tech Speaking Template USE THIS.qxd 2/24/ :07 AM Page 1 Whisker free tin Jan Feb 2012-stripped:Metal Tech Speaking Template USE THIS.qxd 2/24/2012 11:07 AM Page 1 BY GEORGE MILAD, NATIONAL ACCOUNTS MANAGER FOR TECHNOLOGY, UYEMURA USA, SOUTHINGTON, CONN. The

More information

Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications

Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications 2016 IEEE 66th Electronic Components and Technology Conference Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications Ting-Chia Huang, Vanessa

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Modeling, Design and Demonstration of Low-temperature, Low-pressure and High-throughput Thermocompression Bonding of Copper Interconnections without Solders Ninad Shahane*, Scott McCann, Gustavo Ramos

More information

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys

Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Alloys Effects of Bi Content on Mechanical Properties and Bump Interconnection Reliability of Sn-Ag Solder Kazuki Tateyama, Hiroshi Ubukata*, Yoji Yamaoka*, Kuniaki Takahashi*, Hiroshi Yamada** and Masayuki Saito

More information

Interfacial reactions of BGA Sn 3.5%Ag 0.5%Cu and Sn 3.5%Ag solders during high-temperature aging with Ni/Au metallization

Interfacial reactions of BGA Sn 3.5%Ag 0.5%Cu and Sn 3.5%Ag solders during high-temperature aging with Ni/Au metallization Materials Science and Engineering B 113 (2004) 184 189 Interfacial reactions of BGA Sn 3.5%Ag 0.5%Cu and Sn 3.5%Ag solders during high-temperature aging with Ni/Au metallization Ahmed Sharif, M.N. Islam,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

Electromigration Behavior of through-si-via (TSV) Interconnect for 3-D Flip Chip Packaging

Electromigration Behavior of through-si-via (TSV) Interconnect for 3-D Flip Chip Packaging Materials Transactions, Vol. 51, No. 5 (2010) pp. 1020 to 1027 #2010 The Japan Institute of Metals EXPRESS REGULAR ARTICLE Electromigration Behavior of through-si-via (TSV) Interconnect for 3-D Flip Chip

More information

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA Materials Transactions, Vol. 49, No. 9 (2008) pp. 2100 to 2106 #2008 The Japan Institute of Metals Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

More information

Three-Dimensional Molded Interconnect Devices (3D-MID)

Three-Dimensional Molded Interconnect Devices (3D-MID) Jörg Frank Three-Dimensional Molded Interconnect Devices (3D-MID) Materials, Manufacturing, Assembly and Applica ons for Injec on Molded Circuit Carriers Sample Pages ISBN 978-1-56990-551-7 HANSER Hanser

More information

EFFECT OF Ag COMPOSITION, DWELL TIME AND COOLING RATE ON THE RELIABILITY OF Sn-Ag-Cu SOLDER JOINTS. Mulugeta Abtew

EFFECT OF Ag COMPOSITION, DWELL TIME AND COOLING RATE ON THE RELIABILITY OF Sn-Ag-Cu SOLDER JOINTS. Mulugeta Abtew EFFECT OF Ag COMPOSITION, DWELL TIME AND COOLING RATE ON THE RELIABILITY OF Sn-Ag-Cu SOLDER JOINTS Mulugeta Abtew Typical PCB Assembly Process PCB Loading Solder Paste Application Solder Paste Inspection

More information

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 8 15 OCTOBER 2004 Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads T. L. Shao, Y. H. Chen, S. H.

More information

TSV CHIP STACKING MEETS PRODUCTIVITY

TSV CHIP STACKING MEETS PRODUCTIVITY TSV CHIP STACKING MEETS PRODUCTIVITY EUROPEAN 3D TSV SUMMIT 22-23.1.2013 GRENOBLE HANNES KOSTNER DIRECTOR R&D BESI AUSTRIA OVERVIEW Flip Chip Packaging Evolution The Simple World of C4 New Flip Chip Demands

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish Julie Silk 1, Jianbiao Pan 2, Mike Powers 1 1 Agilent Technologies, 1400 Fountaingrove Parkway, Santa Rosa,

More information

Dissolution of electroless Ni metallization by lead-free solder alloys

Dissolution of electroless Ni metallization by lead-free solder alloys Journal of Alloys and Compounds 388 (2005) 75 82 Dissolution of electroless Ni metallization by lead-free solder alloys Ahmed Sharif, Y.C. Chan, M.N. Islam, M.J. Rizvi Department of Electronic Engineering,

More information

Arch. Metall. Mater. 62 (2017), 2B,

Arch. Metall. Mater. 62 (2017), 2B, Arch. Metall. Mater. 62 (2017), 2B, 1225-1229 DOI: 10.1515/amm-2017-0182 S.S. KIM*, I. SON* #, K.T. KIM** EFFECT OF ELECTROLESS Ni P PLATING ON THE BONDING STRENGTH OF Bi Te-BASED THERMOELECTRIC MODULES

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution Cassandra Melvin Global Product Manager, Advanced Packaging Outline 1. Global megatrend IoT 2. Fan-out wafer-level packaging

More information

HOT-DIP TINNED COPPER AND COPPER ALLOY STRIP

HOT-DIP TINNED COPPER AND COPPER ALLOY STRIP HOT-DIP TINNED COPPER AND COPPER ALLOY STRIP HOT-DIP TINNED STRIP WHY HOT DIP TINNING? Characteristic for hot-dip tinned coatings is the formation of an intermetallic phase (IMP) between the base material

More information

Controlling the Microstructures from the Gold-Tin Reaction

Controlling the Microstructures from the Gold-Tin Reaction Controlling the Microstructures from the Gold-Tin Reaction J. Y. Tsai, C. W. Chang, Y. C. Shieh, Y. C. Hu, and C. R. Kao* Department of Chemical & Materials Engineering National Central University Chungli

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

Via Filling: Challenges for the Chemistry in the Plating Process

Via Filling: Challenges for the Chemistry in the Plating Process Via Filling: Challenges for the Chemistry in the Plating Process Mike Palazzola Nina Dambrowsky and Stephen Kenny Atotech Deutschland GmbH, Germany Abstract Copper filling of laser drilled blind micro

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

SCV Chapter, CPMT Society, IEEE September 14, Voids at Cu / Solder Interface and Their Effects on Solder Joint Reliability

SCV Chapter, CPMT Society, IEEE September 14, Voids at Cu / Solder Interface and Their Effects on Solder Joint Reliability Voids at / Solder Interface and Their Effects on Solder Joint Reliability Zequn Mei, Mudasir Ahmad, Mason Hu, Gnyaneshwar Ramakrishna Manufacturing Technology Group Cisco Systems, Inc. Acknowledgement:

More information

Newsletter. Test Services & Failure Analysis Laboratory. April The Reality of Flip-Chip Solder Bump Electromigration Failure INSIDE THIS ISSUE

Newsletter. Test Services & Failure Analysis Laboratory. April The Reality of Flip-Chip Solder Bump Electromigration Failure INSIDE THIS ISSUE Test Services & Failure Analysis Laboratory April 2008 Newsletter INSIDE THIS ISSUE Features Solder Bump Electromigration Failure Solder Joint Failure Criteria External Inspection of PCBs Hollow Fibers

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Li Zheng 1, Yang Zhang, Xuchen Zhang and Muhannad S. Bakir 2 School of Electrical and Computer Engineering Georgia

More information

Optimizing Immersion Silver Chemistries For Copper

Optimizing Immersion Silver Chemistries For Copper Optimizing Immersion Silver Chemistries For Copper Ms Dagmara Charyk, Mr. Tom Tyson, Mr. Eric Stafstrom, Dr. Ron Morrissey, Technic Inc Cranston RI Abstract: Immersion silver chemistry has been promoted

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS

MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS 109 Chapter 5 MACROSTRUCTURE, MICROSTRUCTURE AND MICROHARDNESS ANALYSIS 5.1 INTRODUCTION The microstructural studies of friction welding helps in understanding microstructural changes occurred during friction

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

Flip chip bumping technology Status and update

Flip chip bumping technology Status and update Nuclear Instruments and Methods in Physics Research A 565 (2006) 290 295 www.elsevier.com/locate/nima Flip chip bumping technology Status and update M. Juergen Wolf, Gunter Engelmann, Lothar Dietrich,

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society SLID bonding for thermal interfaces Thermal performance Outline Background and motivation The HTPEP project Solid-Liquid Inter-Diffusion (SLID) Au-Sn SLID Cu-Sn SLID Reliability and bond integrity Alternative

More information

Reflow Profiling: Time a bove Liquidus

Reflow Profiling: Time a bove Liquidus Reflow Profiling: Time a bove Liquidus AIM/David Suraski Despite much research and discussion on the subject of reflow profiling, many questions and a good deal of confusion still exist. What is clear

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

OMIKRON IMMERSION WHITE TIN. Florida CirTech, Inc. Greeley, Colorado USA. Revised 2/19/98

OMIKRON IMMERSION WHITE TIN. Florida CirTech, Inc. Greeley, Colorado USA. Revised 2/19/98 OMIKRON TM IMMERSION WHITE TIN Revised 2/19/98 Florida CirTech, Inc. C T Florida CirTech, Inc. 1309 North 17th Avenue Greeley, CO 80631 Telephone: 1-800-686-6504 Fax: (970) 346-8331 Table of Contents Pages

More information

Comparative Study of NiNiP Leadframes from Different Processes

Comparative Study of NiNiP Leadframes from Different Processes Comparative Study of NiNiP Leadframes from Different Processes Wu-Hu Li *1, Jeffrey Khai Huat Low 1, Harry Sax 2, Raymond Solis Cabral 1, Esperidion De Castro Salazar 1, Pauline Min Wee Low 1 1 Infineon

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Optimization of Material and Process for Fine Pitch LVSoP Technology

Optimization of Material and Process for Fine Pitch LVSoP Technology Optimization of Material and Process for Fine Pitch LVSoP Technology Yong-Sung Eom, Ji-Hye Son, Hyun-Cheol Bae, Kwang-Seong Choi, and Heung-Soap Choi For the formation of solder bumps with a fine pitch

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) 28nm Mobile SoC Copper Pillar Probing Study Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) Overview Introduction to IMC Copper Pillar Implementation at IMC Low force

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Effects of Lead on Tin Whisker Elimination

Effects of Lead on Tin Whisker Elimination Effects of Lead on Tin Whisker Elimination Wan Zhang and Felix Schwager Rohm and Haas Electronic Materials Lucerne, Switzerland inemi Tin Whisker Workshop at ECTC 0 May 30, 2006, in San Diego, CA Efforts

More information

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly Selection and Parameter Optimization for Reliable TMV Pop Assembly Brian Roggeman, David Vicari Universal Instruments Corp. Binghamton, NY, USA Roggeman@uic.com Martin Anselm, Ph.D. - S09_02.doc Lee Smith,

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies Jian-Yu Shih 1,Yen-Chi Chen 2, Cheng-Hao Chiang 1, Chih-Hung Chiu 2, Yu- Chen Hu 1, Chung-Lun Lo 2, Chi-Chung Chang

More information

Development of System in Package

Development of System in Package Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article

More information

Final Year Project Proposal 1

Final Year Project Proposal 1 Final Year Project Proposal 1 Mechanical testing for high temperature polymers Mr Eric Phua Jian Rong (JRPhua@ntu.edu.sg) In offshore subsea drilling, different types of microelectronics devices and sensors

More information

A Study of the Effect of Indium Filler Metal on the Bonding Strength of Copper and Tin

A Study of the Effect of Indium Filler Metal on the Bonding Strength of Copper and Tin Koyama et al.: A Study of the Effect of Indium Filler Metal (1/6) [Technical Paper] A Study of the Effect of Indium Filler Metal on the Bonding Strength of Copper and Tin Shinji Koyama, Seng Keat Ting,

More information

Fluxless soldering using Electron Attachment (EA) Technology

Fluxless soldering using Electron Attachment (EA) Technology Fluxless soldering using Electron Attachment (EA) Technology Proprietary, patented innovation for wafer level packaging applications including wafer bump and copper pillar reflow. Air Products has partnered

More information

Composition/wt% Bal SA2 (SABI) Bal SA3 (SABI + Cu) Bal

Composition/wt% Bal SA2 (SABI) Bal SA3 (SABI + Cu) Bal Improving Thermal Cycle and Mechanical Drop Impact Resistance of a Lead-free Tin-Silver-Bismuth-Indium Solder Alloy with Minor Doping of Copper Additive Takehiro Wada 1, Seiji Tsuchiya 1, Shantanu Joshi

More information