Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Size: px
Start display at page:

Download "Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping"

Transcription

1 Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping YS Kim & Hyuckjun Kown CTD, Lam Research 2017 Lam Research Corp. EAG

2 Overview Introduction and challenges Challenges on device USJ on 3D structure Plasma-assisted doping (PaD) on Si Previous work for plasma-assisted doping on Si Annealing vs Doping on Si PaD vs ALD vs GaP vs SOD Plasma-assisted doping on Ge Various annealing for junction depth and P level Plasma-assisted doping on Ge Summary 2017 Lam Research Corp. EAG

3 Overview Introduction and challenges Challenges on device USJ on 3D structure for <10 nm node Plasma-assisted doping (PaD) on Si Previous work for plasma-assisted doping on Si Annealing vs Doping on Si Plasma-assisted doping on Ge Various annealing for junction depth and P level Plasma-assisted doping on Ge Summary 2017 Lam Research Corp. EAG

4 Man Made Intelligent but Power Inefficient System AlphaGo handily beat world Go champions 74,000W 12W AlphaGo: employs 1200 CPU s and 280 GPU s in 2016 Human brain: 12W! 15% power reduced in Lam Research Corp. EAG

5 Demands on Surface Engineering Due to Increasing of Both R and C Components on <10 nm Node Device imec 2015 Source: Chipworks To reduce R c : or or : the Schottky barrier height N : the electrically active dopant density at the interface A : contact area 2017 Lam Research Corp. EAG

6 Overview Introduction and challenges Challenges on device USJ on 3D structure for <10 nm node Plasma-assisted doping (PaD) Previous work for plasma-assisted doping on Si Annealing vs Doping on Si Plasma-assisted doping on Ge Various annealing for junction depth and P level Plasma-assisted doping on Ge Summary 2017 Lam Research Corp. EAG

7 Comparison of Conformality for 3D USJ with Various Doping Schemes Doping on 3D structure will be a challenge due to its directionality during implantation To form shallow junction on 3D structure, reducing ion energy and increasing ion scattering will be necessary Monolayer doping by deposition of dopant will be an alternate option V. S. Basker et al, VLSI Tech. Symp., 2010 Beamline I 2 P PLAD (Plasma Doping) PaD (Plasma-assisted Doping) MLD (Mono-Layer Doping) 2017 Lam Research Corp. EAG

8 Comparison of PaD with Typical PLAD (with Bias) Y.Kim et al, IWJT 2016 Before doping After PaD Si Lam result with no-bias (with pre-treatment) Plasma Doping with Bias * Data Source from S Qin, IEEE Trans Electron Dev 62 (2015) Rs from pre-treat but no bias power added is compatible with PLAD 2017 Lam Research Corp. EAG

9 Outline Introduction and challenges Challenges on device USJ on 3D structure for <10 nm node Plasma-assisted doping (PaD) on Si Previous work for plasma-assisted doping on Si Annealing vs Doping on Si Plasma-assisted doping on Ge Various annealing for junction depth and P level Plasma-assisted doping on Ge Summary 2017 Lam Research Corp. EAG

10 Various Annealing on PaD Processed Si Samples Junction depth can be optimized by annealing condition to form USJ Dopants activation confirmed with Rs measurement and SRP 1E+23 Anneal A Anneal B Anneal C 1E+23 1E+23 P CONCENTRATION (atoms/cc) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 SIMS SRP 715 /sq P CONCENTRATION (atoms/cc) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 SRP SIMS 83 /sq P CONCENTRATION (atoms/cc) 1E+22 1E+21 1E+20 1E+19 1E+18 SIMS SRP 38 /sq 1E DEPTH (nm) 1E DEPTH (nm) 1E DEPTH (nm) 2017 Lam Research Corp. EAG

11 X j with Various Doping Technique vs. Annealing Junction depth can be optimized by optimizing annealing condition to form USJ Dopants activation confirmed by SRP Shallowest X j can be produced by either ALD or MLD (GaD here) Dopant level with ALD is higher than MLD due to encapsulated surface to reduce out-diffusion Highest dopant level can be produced by PaD with LA or FLA 1.0E+22 As doped/dep < 5 nm P Si Surface (atom/cm 3 ) 1.0E E E E E+17 ALD P_RTP ALD P_LA ALD P_FLA PaD_RTP PaD_LA PaD_FLA PaD_as doped GaD_RTP GaD_as doped SOD_10nm_RTP SOD_10nm_FLA SOD_as dep Xj (nm) Laser annealed Flash annealed RTP annealed < 7 nm <12 nm <21 nm 2017 Lam Research Corp. EAG

12 Dopant Level and Junction Depth (X j ) Doping vs. Annealing Technique Average Surface Dopant Level of P Avg Junction Depth with Various Annealing 1.0E+21 Post annealing 20 PaD, ALD, SOD, GaD Surface P Conc (atom/cc) 1.0E E E E+17 Junction Depth (Xj, nm) E+16 ALD PaD GaD SOD 0 As-doped LA FLA RTA Doping Technique Annealing Technique Dopant level at Si surface can be increased by plasma-assisted doping, while GaD/MLD shows the lower level due to its limited dopant source Highest dopant level can be produced by PaD with LA or FLA Dopants activation confirmed by 4pt-pb & SRP Shallowest X j can be produced by laser annealing with the similar surface P level 2017 Lam Research Corp. EAG

13 Outline Introduction and challenges Challenges on device USJ on 3D structure for <10 nm node Plasma-assisted doping (PaD) on Si Previous work for plasma-assisted doping on Si Annealing vs Doping on Si Plasma-assisted doping on Ge Various annealing for junction depth and P level Plasma-assisted doping on Ge Summary 2017 Lam Research Corp. EAG

14 Issue of N Dopants on Ge Fast diffusion of n-dopant is unfavorable to for USJ on Ge Efficient strategies to be developed To constrain the diffusion of n-type dopants To increase the level of electrical activation, i.e., to hinder the formation of dopant defect cluster Flash or Laser Anneal co-doping 2017 Lam Research Corp. EAG

15 Plasma-Assisted Doping for P Doping on Ge With vs. Without Plasma: As Doped Plasma-assisted Doping Plasma doping (no bias power, PH 3 ) produces ~5 nm junction depth, while no plasma (gas only) could not produce any No significant effect of wafer temperature is seen on plasma-assisted doping level at Ge surface Dopants Level vs. Plasma With vs. Without 45C 1.E+23 Surface Dopant Level (atom/cc) 1.E+22 1.E+21 1.E+20 1.E+19 1.E+18 Ds_plasma Ds_no plasma With Plasma No Plasma 1.E Chuck Temp(C) 2017 Lam Research Corp. EAG

16 To Increase P Level on Ge Annealing Variation and Other Enhancement X j vs. RTP Temp P level after annealing by RTP is < 3E19 Flash or P Enhancement will be the option to increase the level Expected P Concentration with Enhancement + Flash Annealing Pre and Post RTP on PaD Ge 1E+23 1E+22 Ge-> 1E+02 9E+01 8E+01 P CONCENTRATION (atoms/cc) 1E+21 1E+20 1E+19 1E+18 1E+17 RTP 60sec No annealing 7E+01 6E+01 5E+01 4E+01 3E+01 2E+01 1E+01 0E DEPTH (nm) Ge INTENSITY (arbitrary units) P Enhanced + FLA P Enhanced +RTP 2017 Lam Research Corp. EAG

17 Enhanced P Level of Plasma-Assisted Doping on Ge After RTP 600C, 30 sec P CONCENTRATION (atoms/cc) 1E+23 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 P Doping on Ge with Enhancement SiN cap N-> Si-> O-> P <10 nm X j 3 nm slope P level after activation annealing by RTP is ~1E21 P Enhancement with other annealing will be the option to increase the level Steeper profile is expected as FLA or LA will be applied 1E+16 Normalized DEPTH (nm) 2017 Lam Research Corp. EAG

18 Executive Summary Novel process approach has been developed to form USJ on 3D structure of Si and Ge using plasma Doping on 3D Structure Novel approaches increase P dopant level and lowers Rs further, therefore, conformal doping on 3D structure can be enabled without bias power No structure damage has been observed Confirmed that doping with no bias power forms shallow X j depth of <7 nm on 3D structure P Annealing vs. X j Finally various annealing could reduce X j to <5 nm 2017 Lam Research Corp. EAG

19

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Junction formation in Ge by coimplant. and pre-heating techniques

Junction formation in Ge by coimplant. and pre-heating techniques Junction formation in Ge by coimplant. and pre-heating techniques Takashi Kuroi Nissin Ion Equipment Co., Ltd. 0 Content Introduction Purpose and Motivation Experimental Acceptor impurity implanted Germanium

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

SCREEN Thermal Products

SCREEN Thermal Products SCREEN Thermal Products July 16, 2015 NCCAVS Junction Technology Group Semicon West San Francisco, CA 1 SE-75-1628-L1 Agenda Thermal Products Overview Laser Anneal Presentation Formation of Ge n+/p junction

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET Conformal Doping for FinFETs by Self-Regulatory Plasma Doping Yuichiro Sasaki Katsumi Okashita Bunji Mizuno FETField Effect Transistor SRPD : Self-Regulatory Plasma Doping PD : Plasma Doping 11 %FETFET

More information

Monitoring Ion Implantation and Annealing Precision to Reduce Device Variability

Monitoring Ion Implantation and Annealing Precision to Reduce Device Variability Monitoring Ion Implantation and Annealing Precision to Reduce Device Variability John Borland, J.O.B. Technologies, Aiea, Hawaii; Wade Krull, SemEquip, North Billercia, Mass.; Masaharu Tanjo, Nissin Ion

More information

1 INTRODUCTION 2 EXPERIMENTATION

1 INTRODUCTION 2 EXPERIMENTATION COMPARISON OF POCL 3 & BBR 3 FURNACE DIFFUSSION DOPANT SOURCES TO PHOSPHORUS & BORON IMPLANT AND PLASMA DOPANT SOURCES FOR SELECTIVE EMITTER FORMATION USING LOCALIZED LASER MELT (LLM) ANNEALING EITHER

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

45nm Node p+ USJ Formation With High Dopant Activation And Low Damage

45nm Node p+ USJ Formation With High Dopant Activation And Low Damage 45nm Node p+ USJ Formation With High Dopant Activation And Low Damage John Borland 1, Seiichi Shishiguchi 2, Akira Mineji 2, Wade Krull 3, Dale Jacobson 3, Masayasu Tanjyo 4, Wilfried Lerch 5, Silke Paul

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth. Liquid Phase Epitaxy (LPE) Formation of Localized High Quality and Mobility Ge & SiGe by High Dose Ge-Implantation with Laser Melt Annealing for 10nm and 7nm Node CMOS Technology John Borland 1,2, Michiro

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

John Borland 1, Joshua Herman 2, Steve Novak 2, Hiroshi Onoda 3, Yoshiki Nakashima 3, Karim Huet 4, Walt Johnson 5 and Abhijeet Joshi 6

John Borland 1, Joshua Herman 2, Steve Novak 2, Hiroshi Onoda 3, Yoshiki Nakashima 3, Karim Huet 4, Walt Johnson 5 and Abhijeet Joshi 6 P, Sb and Sn Ion Implantation with Laser Melt-LPC (Liquid Phase Crystallization) For High Activation n+ Ultra-Shallow Junction in Ge Epilayer and Surface Strain-Ge Formation For Mobility Enhancement John

More information

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 217 ISSN(Print) 1598-1657 https://doi.org/.5573/jsts.217.17.2.277 ISSN(Online) 2233-4866 A Study on Thermal Stability Improvement in

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

Antimony Clustering due to High-dose Implantation

Antimony Clustering due to High-dose Implantation Mat. Res. Soc. Symp. Vol. 610 2000 Materials Research Society Antimony Clustering due to High-dose Implantation Kentaro Shibahara and Dai Onimatsu Research Center for Nanodevices and Systems Hiroshima

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Semiconductor Compositional Metrology System. Elemental Composition Dopant Dosimetry Layer Thickness LEXES

Semiconductor Compositional Metrology System. Elemental Composition Dopant Dosimetry Layer Thickness LEXES Semiconductor Compositional Metrology System Elemental Composition Dopant Dosimetry Layer Thickness LEXES The CAMECA Shallow Probe is a unique LEXES based metrology tool supporting major semiconductor

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013 Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells Jonathon Dore SPREE Research Seminar - 27th June, 2013 Contents Introduction motivation for thin-film Thin-film PV technologies Diode laser

More information

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Journal of the Korean Physical Society, Vol. 44, No. 6, June 2004, pp. 1581 1586 Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Ji Youn Lee Photovoltaics R&D Center, Sung Jin

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

John Borland. JOB Technologies, Kuawa St, Aiea, Hawaii 96701

John Borland. JOB Technologies, Kuawa St, Aiea, Hawaii 96701 Smartphones: Driving Technology to More than Moore 3-D Stacked Devices/Chips and More Moore FinFET 3-D Doping with High Mobility Channel Materials from 20/22nm Production to 5/7nm Exploratory Research

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Abstract --- We investigated phosphorus and boon implanted emitter and selective emitter junction formation

Abstract --- We investigated phosphorus and boon implanted emitter and selective emitter junction formation Selective and Homo Emitter Junction Formation Using Precise Dopant Concentration Control by Ion Implantation and Microwave, Laser or Furnace Annealing Techniques John Borland 1, Victor Moroz 2, Joanne

More information

Chicane Deceleration An Innovative Energy Contamination Control Technique in Low Energy Ion Implantation

Chicane Deceleration An Innovative Energy Contamination Control Technique in Low Energy Ion Implantation Chicane Deceleration An Innovative Energy Contamination Control Technique in Low Energy Ion Implantation N. White a, J. Chen a, C. Mulcahy b, S. Biswas b, R. Gwilliam c. a Advanced Ion Beam Technology

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Transient-Enhanced Diffusion in Shallow-Junction Formation

Transient-Enhanced Diffusion in Shallow-Junction Formation Journal of ELECTRONIC MATERIALS, Vol. 31, No. 10, 2002 Special Issue Paper Transient-Enhanced Diffusion in Shallow-Junction Formation A.T. FIORY, 1,6 S.G. CHAWDA, 1 S. MADISHETTY, 1 V.R. MEHTA, 1 N.M.

More information

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.041 A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si

More information

Enhancement of Phosphorus Activation in Vacancy. Engineered thin Silicon-on-Insulator Substrates

Enhancement of Phosphorus Activation in Vacancy. Engineered thin Silicon-on-Insulator Substrates Enhancement of Phosphorus Activation in Vacancy Engineered thin Silicon-on-Insulator Substrates A J Smith*, R M Gwilliam and V Stolojan Ion Beam Centre, Advanced Technology Institute, University of Surrey,

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Crystalline Silicon Technologies

Crystalline Silicon Technologies Crystalline Silicon Technologies in this web service in this web service Mater. Res. Soc. Symp. Proc. Vol. 1210 2010 Materials Research Society 1210-Q01-01 Hydrogen Passivation of Defects in Crystalline

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

SIMULATION OF ULTRA SHALLOW JUNCTION FORMATION FOR NANO DEVICES APPLICATIONS BY DOPANT DIFFUSION FROM SPIN ON GLASSES

SIMULATION OF ULTRA SHALLOW JUNCTION FORMATION FOR NANO DEVICES APPLICATIONS BY DOPANT DIFFUSION FROM SPIN ON GLASSES Jurnal Teknologi, 45(D) Dis. 2006: 153-165 Universiti Teknologi Malaysia SIMULATION OF ULTRA SHALLOW JUNCTION FORMATION FOR NANO DEVICES APPLICATIONS BY DOPANT DIFFUSION FROM SPIN ON GLASSES NIK HAZURA

More information

Memory Innovation Made Possible by Suppliers

Memory Innovation Made Possible by Suppliers Memory Innovation Made Possible by Suppliers Er-Xuan Ping Managing Director, Applied Materials September 9, 2016 External Use Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Flash Annealing Technology for USJ: Modeling and Metrology

Flash Annealing Technology for USJ: Modeling and Metrology Flash Annealing Technology for USJ: Modeling and Metrology Jeff Gelpey, Steve McCoy, David Camm, Mattson Technology Canada, Vancouver, B.C. Canada Wilfried Lerch, Silke Paul, Mattson Thermal Products GmbH,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Alternate Channel Materials for High Mobility CMOS

Alternate Channel Materials for High Mobility CMOS Alternate Channel Materials for High Mobility CMOS By Christopher Henderson This year s International Electron Device Meeting (IEDM) discussed a wide range of approaches for creating CMOS transistors with

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

Thin metal film 4H-SiC vertical Schottky photodiodes for UV Index monitoring

Thin metal film 4H-SiC vertical Schottky photodiodes for UV Index monitoring Thin metal film 4H-SiC vertical Schottky photodiodes for UV Index monitoring Massimo Mazzillo 1, Antonella Sciuto 2, Paolo Badalà 1, Beatrice Carbone 1, Alfio Russo 1 and Salvatore Coffa 1 1 STMicroelectronics,

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project Feature-level Compensation & Control Workshop April 15, 2004 A UC Discovery Project 2 Diffusion in Silicon Germanium Alloys UC-DISCOVERY/ Workshop April 15, 2004 Hughes Silvestri, 1,2 Hartmut Bracht, 3

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

Atomic Level Material and Device Analysis for FinFET and Nanowire Design Atomic Level Material and Device Analysis for FinFET and Nanowire Design Victor Moroz, Søren Smidstrup, Munkang Choi, and Alexei Svizhenko July 13, Junction Technologies User Group Meeting 2018 Synopsys,

More information

Change in stoichiometry

Change in stoichiometry Measurement of Gas Sensor Performance Gas sensing materials: 1. Sputtered ZnO film (150 nm (Massachusetts Institute of Technology) 2. Sputtered SnO 2 film (60 nm) (Fraunhofer Institute of Physical Measurement

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

MOS interface processing and properties utilizing Ba-interface layers

MOS interface processing and properties utilizing Ba-interface layers MOS interface processing and properties utilizing Ba-interface layers Daniel J. Lichtenwalner, Vipindas Pala, Brett Hull, Scott Allen, & John W. Palmour Power R&D, Cree, Inc. Durham, NC 27703 Partial funding

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Reliability enhancement of phase change

Reliability enhancement of phase change Reliability enhancement of phase change memory for neuromorphic applications SangBum Kim, Ph.D. Research Staff Member Novel memory and cognitive applications IBM T.J. Watson Research Center SangBum.Kim@us.ibm.com

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.3, JUNE, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.3.301 ISSN(Online) 2233-4866 Reducing Contact Resistance Between and

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

(12) Patent Application Publication (10) Pub. No.: US 2008/ A1

(12) Patent Application Publication (10) Pub. No.: US 2008/ A1 (19) United States US 2008.0023732A1 (12) Patent Application Publication (10) Pub. No.: US 2008/0023732 A1 FELCH et al. (43) Pub. Date: Jan. 31, 2008 (54) USE OF CARBON CO-IMPLANTATION WITH MILLISECOND

More information

Process Challenges for 1S-1R Crossbar Memory

Process Challenges for 1S-1R Crossbar Memory Process Challenges for 1S-1R Crossbar Memory W. Kim, M. Frei and M. Pakala OUTLINE Background Crossbar Memory as Storage Class Memory (SCM) Chalcogenide Materials: PCM, OTS Selector Crossbar patterning

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

ME 432 Fundamentals of Modern Photovoltaics. Discussion 30: Contacts 7 November 2018

ME 432 Fundamentals of Modern Photovoltaics. Discussion 30: Contacts 7 November 2018 ME 432 Fundamentals of Modern Photovoltaics Discussion 30: Contacts 7 November 2018 Fundamental concepts underlying PV conversion input solar spectrum light absorption carrier excitation & thermalization

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

IISW-2009 BSI technical challenges

IISW-2009 BSI technical challenges IISW-2009 BSI technical challenges Bergen. 25th June 2009 Outline BSI consumer vs BSI scientific BSI vs FSI Remaining challenges Charges collection BSI overlay challenges BSI laser annealing Thinning Process

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

High Barrier Multi-Layer Parylene Coating

High Barrier Multi-Layer Parylene Coating High Barrier Multi-Layer Parylene Coating Technology overview Surface Technolgy 2018 Company Profile Swiss company founded in 1979 Experts in Parylene coating technology Parylene Equipment Export rate

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński 2. Fabrication techniques KNU Seminar Course 2015 Robert Mroczyński Technological layers used in the course of the IC fabrication Semiconductors Fundamental part of each IC, active material of semiconductor

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

High Resolution RBS measurements GePb/Ge, HfO 2 /Ge

High Resolution RBS measurements GePb/Ge, HfO 2 /Ge High Resolution RBS measurements Pb/, HfO 2 / Saumitra K Vajandar Centre for Ion Beam Applications (CIBA) Department of Physics National University of Singapore Aug 11, 2016 Outline CIBA at a glance Beamlines,

More information

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY Meijun Lu 1,2, Ujjwal Das 1, Stuart Bowden 1, and Robert Birkmire 1,2 1 Institute of Energy

More information

Non-contractual document, specifications subject to change without notice.

Non-contractual document, specifications subject to change without notice. 1 ANNEALSYS designs and manufactures Rapid Thermal Processing (RTA, RTCVD) Direct Liquid Injection (DLI-CVD, DLI-ALD) systems for research laboratories and companies for semiconductor, MEMS, nanotechnologies,

More information

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers Note: If you are asked to plot something on this homework or any future homework, make an accurate plot using Excel, Matlab, etc., with

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information