Memory Innovation Made Possible by Suppliers

Size: px
Start display at page:

Download "Memory Innovation Made Possible by Suppliers"

Transcription

1 Memory Innovation Made Possible by Suppliers Er-Xuan Ping Managing Director, Applied Materials September 9, 2016 External Use

2 Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm Applied Materials New e-nvm Development Conclusions 2

3 Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm Applied Materials New e-nvm Development Conclusions

4 e-nvm in Microcontroller (MCU) Access Speed Endurance CPU/ Register Cache - SRAM Memory SRAM Retention (non- volatility) Density Code Storage - eflash (NOR) Volatile & Non Volatile Memory on the Same Chip Infineon TC1784, 90nm (180 MHz, 2.5 MByte Flash) 4

5 Markets and Applications 5

6 Technology Status CMOS vs. e-flash Flash Summit 2013, Spansion Do Dormans et al, NVSMW Year/Gen Source: Yole, 2015 Renesas Announced 28nm Technology (with MONOS cell) 14nm Flash Summit 2013, Spansion 3 rd Generation Super Flash SST, 32th European SSDVC

7 MCU Scaling Issues Cost F 2 R. Strenz, IEDM 2011 Performance Power Consumption Y. Thachev, and A. Kotov, Proc. ESSDERC, 2006 Reliability High Temp Retention A. Kotov, Leti Innovation Days Memory Workshop

8 Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm Applied Materials New e-nvm Development Conclusions 8

9 New NVM Entrants PCRAM NOR NVM ReRAM e-nvm STT-MRAM DDR Toshiba/SanDisk, ISSCC 2013 Micron/Sony, ISSCC 2014 High Density Fast NVM 9

10 New Memory Attributes NVM Read Latency NVM Write Latency Source: Kosuke Suzuki, Steven Swanson, University of California, San Diego technical report CS , May Faster Than Flash 10

11 Cost Advantage of New e-nvm in MCU Current MCU Chip SRAM, Logic FETs NOR Flash Source Gate Drain Source Drain FEOL One Mask 10+ Mask Adder 3 Mask Adders Memory (STT MRAM) BEOL Logic FET IBM, ECS Trans STT-MRAM MCU Chip FEOL Source: Macronix, Applied Physics A,

12 PCRAM Integration Process Flow Passivation Patterning Cell Stack Oxide Polish Oxide Fill PECVD/PEALD Liner Treatment Inspection Post Etch Clean Cell Material Etch HM Open Lithography High Selective HM Dep Top Electrode Dep Phase Change Material Dep Polish Bottom Electrode H.Horii et al, Symp on VLSI Tech 2003 CMOS As PCRAM cell pitch reduces and architecture changes to 3D XPoint, HAR processes needed. 12

13 ReRAM Integration Process Flow Passivation Patterning Cell Stack Oxide Polish Oxide Fill PECVD/PEALD Liner Treatment Inspection Post Etch Clean Cell Material Etch HM Open Lithography High Selective HM Dep Top Electrode Dep ReRAM Stack Dep Polish Bottom Electrode CMOS Panasonic/IMEC, VLSI 2015 Current ReRAM faces variability, endurance issues. Forming circuit is extremely important. 13

14 Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm Applied Materials New e-nvm Development Conclusions 14

15 Low Temperature High Quality Dielectrics For BEOL Integration Flowable CVD Dielectrics (Surface chemical reaction leads to good film quality) Source: Applied Materials Experimental Data 15

16 ALD Dielectrics and Metals For HAR Structure Integration Mean Stack Film Thickness (A) Mean Thickness = 24.2Å WtW Uniformity = 0.53%, 1σ 1σ WiW Uniformity = 0.71%, 1σ 1σ TPT = WPH Thickness WiW Uniformity HfO WiW Uniformity (%) ,000 4,000 6,000 8,000 10,000 Wafer Count Intensity (counts) 600 TiN TiN Theta ( ) Source: Applied Materials Experimental Data 16

17 Endura PVD Technology For STT-MRAM Film Stack Precision Magnetic Film and MgO Dielectric 17

18 Material Engineering TaOx By O Implant In Ta Metal Source: Applied Materials Experimental Data 18

19 Cell ETCH and PEALD Dielectric For Novel Material Integration Solution Typical Requirements Flat HM No visible metal re-dep Minimize damage >85 side wall In-situ Encapsulation Hard Mask Open Critical Material ETCH Reactive Ion Etch Dual Ribbon Beam Dry Clean PEALD Liner (Low Temp < 250 C) Source: Panasonic/IMEC, VLSI

20 Sym3 Etch Control Byproducts + + Plasma Low conductance leads to accumulation and resulting etch variation Simulation result Cathode Byprod. Conc. (a.u.) Plasma High conductance produces uniform etch Pump Sym3 Chamber High conductance Designed for efficient byproduct removal 0 20

21 Minimizing Within-Die Variation: Loading Control Multiple Patterning Induced CD Variation Loading Challenge Sym3 Performance 20nm Tunable Radical / Ion flux Ratio with Pulsing and High Conductance Required for Micro and Depth Loading Control Source: Applied Materials Experimental Data 21

22 Centura STT-MRAM Etch System For Non-Volatile Magnetic Material Etch Solution Source: Applied Materials Experimental Data 22

23 Current e-nvm Status and Challenges Outline STT-MRAM, ReRAM, PCRAM as New e-nvm Applied Materials New e-nvm Development Conclusions 23

24 Summary Flash based e-nvm scaling faces scaling challenges CMOS scaling outpaced e-nvm cell scaling. Transition to new cell technology is needed to align with advanced CMOS technology Non-charged based STT-MRAM, PCRAM, ReRAM memory cells are compatible with advanced high K/MG CMOS and they are suitable for e-nvm scaling. Implementation of new cell technology depends on e-nvm product requirements for the market and manufacturing cost Applied Materials is very actively working in the STT-MRAM, PCRAM and ReRAM technologies 24

25

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

MATERIALS ROADMAPS FOR ADVANCED SEMICONDUCTOR DEVICES: MATERIAL MARKET TRENDS & OPPORTUNITIES

MATERIALS ROADMAPS FOR ADVANCED SEMICONDUCTOR DEVICES: MATERIAL MARKET TRENDS & OPPORTUNITIES MATERIALS ROADMAPS FOR ADVANCED SEMICONDUCTOR DEVICES: MATERIAL MARKET TRENDS & OPPORTUNITIES LShonRoy@Techcet.com KHolland@Techcet.com L. Shon-Roy K. Holland, PhD. February 2015 Disclaimer This presentation

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Reliability enhancement of phase change

Reliability enhancement of phase change Reliability enhancement of phase change memory for neuromorphic applications SangBum Kim, Ph.D. Research Staff Member Novel memory and cognitive applications IBM T.J. Watson Research Center SangBum.Kim@us.ibm.com

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

TSV Formation: Drilling and Filling

TSV Formation: Drilling and Filling 3D Architectures for Semiconductor Integration and Packaging (3D ASIP), Burlingame, CA, Dec. 10-12, 2014 Preconference symposium- 3D Integration: 3D Process Technology TSV Formation: Drilling and Filling

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory

Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory Hangbing Lv, Xiaoxin Xu, Hongtao Liu, Qing Luo, Qi Liu, Shibing Long, Ming Liu* Institute

More information

Non-charge Storage Resistive Memory: How it works

Non-charge Storage Resistive Memory: How it works Accelerating the next technology revolution Non-charge Storage Resistive Memory: How it works Gennadi Bersuker Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

High Rate low pressure PECVD for barrier and optical coatings

High Rate low pressure PECVD for barrier and optical coatings High Rate low pressure PECVD for barrier and optical coatings, Matthias Fahland, John Fahlteich, Björn Meyer, Steffen Straach, Nicolas Schiller Outline Introduction PECVD New developments magpecvd arcpecv

More information

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping YS Kim & Hyuckjun Kown CTD, Lam Research 2017 Lam Research Corp. EAG 2017 1 Overview

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Atomic Layer Deposition of Ferroelectric and Threshold Switching Materials for Next Generation Nonvolatile Memory

Atomic Layer Deposition of Ferroelectric and Threshold Switching Materials for Next Generation Nonvolatile Memory Atomic Layer Deposition of Ferroelectric and Threshold Switching Materials for Next Generation Nonvolatile Memory Karl Littau, Stephen L. Weeks, Ashish Pal, Vijay Narasimhan, Greg Nowling, Michael Bowes,

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Robust Systems for Scaled CMOS and Beyond

Robust Systems for Scaled CMOS and Beyond Robust Systems for Scaled CMOS and Beyond Subhasish Mitra Robust Systems Group Department of EE & Department of CS Stanford University Acknowledgment: Students & Collaborators Robust System Design Perform

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

First Commercial Demonstration of an Emerging Memory Technology for Embedded flash using CBRAM

First Commercial Demonstration of an Emerging Memory Technology for Embedded flash using CBRAM adesto TECHNOLOGIES First Commercial Demonstration of an Emerging Memory Technology for Embedded flash using CBRAM P. Blanchard, C. Gopalan, J. Shields, W. Lee, Y. Ma, S. Park, B. Guichet, S. Hsu, T. Gallo,

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

MRC Technology System Overview Equipment Details Process Performance Summary

MRC Technology System Overview Equipment Details Process Performance Summary MRC Technology System Overview Equipment Details Process Performance Summary Interconnects Ti/TiN/Al/TiN Hot Al for Via Fill Barriers TiN and TiON TiW and TiWN Silicides Ti, Co, Pt Resistors and Conductors

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Programming and Usage Models for Non-volatile Memory

Programming and Usage Models for Non-volatile Memory Programming and Usage Models for Non-volatile Memory Priya Sehgal MTS, Advanced Technology Group, NetApp SDC India 26 th May 2016 1 2016 NetApp, Inc. All rights reserved. Agenda Overview SNIA NVM Programming

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Issue 51 September 2013

Issue 51 September 2013 Laser Decapsulation By Christopher Henderson Historically, failure analysts used either mechanical or chemical means to decapsulate integrated circuits. They used primarily mechanical means on hermetically-sealed

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Creating a New TEL: Key Initiatives. Tetsuro Higashi Representative Director, President & CEO July 10, 2015

Creating a New TEL: Key Initiatives. Tetsuro Higashi Representative Director, President & CEO July 10, 2015 Creating a New TEL: Key Initiatives Tetsuro Higashi Representative Director, President & CEO July 10, 2015 Changing Market Environment: The IoT Era is Coming $B 500 400 300 200 100 World semiconductor

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Charge-trap memories with high-k control dielectrics

Charge-trap memories with high-k control dielectrics Charge-trap memories with high-k control dielectrics Gabriel Molas CEA-LETI MINATEC Advanced Memory Technology gabriel.molas@cea.fr G. Molas Workshop on Innovative Memory Technologies June 24 th 2009 1

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Enabling Tool and Process Technologies for Advanced Devices

Enabling Tool and Process Technologies for Advanced Devices Enabling Tool and Process Technologies for Advanced Devices June 26 th, 2012 Tokyo Gert Leusink TEL Technology Center America, LLP 1 Outline Emerging Technologies and SPE needs Process and Integration

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Optimization of Ion and Electron Properties in IC Packaging Applications

Optimization of Ion and Electron Properties in IC Packaging Applications Optimization of Ion and Electron Properties in IC Packaging Applications Plasma surface-treatment techniques can improve wire bonding and eliminate substrate delamination. Christa Fairfield Nordson MARCH

More information

INNOVATION DRIVING GROWTH. Winter 2018

INNOVATION DRIVING GROWTH. Winter 2018 INNOVATION DRIVING GROWTH Winter 2018 Safe Harbor The company s guidance with respect to anticipated financial results for the first quarter ending March 31, 2018, potential future growth and profitability,

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform B. Szelag 1, K. Hassan 1, L. Adelmini 1, E. Ghegin 1,2, Ph. Rodriguez 1, S. Bensalem 1, F. Nemouchi 1,

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

Novel Solutions for ESD Sensitive Devices

Novel Solutions for ESD Sensitive Devices Novel Solutions for ESD Sensitive Devices Chris Schreiber Magnecomp cschreiber@magnecomp.com Safely Dissipating ESD Problem Static Charging is created by either Tribocharging or Induction and the uncontrolled

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information