The Red Brick Wall of Traditional Semiconductor Electronics

Size: px
Start display at page:

Download "The Red Brick Wall of Traditional Semiconductor Electronics"

Transcription

1 The Red Brick Wall of Traditional Semiconductor Electronics H. Jörg Osten Institute for Semiconductor Devices and Electronic Materials University of Hannover

2 150 Years of Electronics Edison Effect Lilienfeld Patents Point Contact & Junction Transistors Diode &Triode Vacuum Tubes I.C. MOS Silicon Gate Scaling, Scaling Traditional Equivalent th Century 20th Century 21st Century

3 I think there is a world market for maybe five computers Thomas Watson Senior, Chairman of IBM, 1943

4 The Birth of Microelectronics : The first Ge point contact device showed a current gain of 18 (Bell Laboratories) Current Transfer + Resistor = Transistor

5 Silicon Wafer Today

6 The Intel Family A new processor every 2-3 years! Transistors 10 M 1M 100K 10K Pentium III Pentium Pentium II

7 Electronics, Vol. 38, Nr. 8, April 19, 1965

8 Moore s Law The number of transistors per chip doubles every 18 months

9 CPU MHz Trend 1000 Pentium(R)Pro Processor 100 Pentium(R) Processor MHz 386TM 486TM x per year YEAR

10 DRAM Cost Per Function Price per bit (Millicents) ,1 '65 '70 '75 '80 '85 '90 '

11

12 Semiconductor End Markets % 10% 8% PC other Computer 12% 12% 17% Cell phones other Commun. Industrial/Military Automotive Consumer 30% Source: SIA 2003

13

14 What is the Best Technology? Simplicity Simplicity Reliability Reliability Needed Needed tools tools & investments Integration Integration in in existing existing processes processes CMOS III/V-Devices Si-Bipolar Si-BiCMOS SiGe-Bipolar SiGe-BiCMOS Needed Needed device device parameter parameter Power consumption Power consumption Maturity Maturity Production cost Production cost Environmental issues issues

15 What is the Best Technology? The best technology depends on desired application As cheap as possible As established as possible Performance only sufficiently high

16 Major Technologies 2003 Global market: $154.9 B Billion $ Products Discrete Optoelectronics Analog MOS µ-processors MOS µ-controllers MOS DSP 4% 6.6% 17% 22% 10% 6.3% 3.6% MOS Logic MOS DRAM Flash EEPROMS 17% 5.4% 8.6% 5.5 others more than 90 % is based on Si more than 80 % is integrated Source: SIA 2003

17 It is revolutionary time... Processor: 30% more components per year, doubling in speed every 1.5 years Memory: 60% more capacity every year Harddisk: 60% more capacity every year Cost per function: 25% lower every year

18 Moore s Law: New Structures 1960 s: Bipolar, Mesa, Metal gate 1970 s: MOS, Poly-gate, LOCOS isolation 1980 s: CMOS, W plugs, salicide process 1990 s: STI isolation, BiCMOS, CMP, multilevel metal, SiGe bipolar 2000 s: Hetero MOS (strained silicon),

19 Moore s Law: New Materials 1960 s: Si, Al, SiO s: Poly-Si, PSG, Al-Si, Si 3 N s: BPSG, WSi 2, Polyimide, SOG, TiN, TiN 1990 s: Al-Cu, W, MoSi 2, SiOF, Cu, SiGe, TiSi 2, CoSi 2, Low-K ILD 2000 s: High-K dielectrics,?

20 CMOS Technology Breakthrough Takes Time Tool or Technology developed In production Silicon epitaxy APCVD silicon nitride Ion implantation TiW metallization Charge-coupled devices (CCD) Reactive ion etch (RIE) Poly-Si emitter Refractory gate SIMOX (SOI via implantation) Trench capacitors Silicide (self-aligned) Lightly-doped drain (LDD)

21 Moore s Law today: New Capabilities Resist, optics, mask (157nm, EUV, ) Chemical-mechanical polishing Deposition of high-k dielectrics Low-K dielectrics (organics) integration Thinner conformal barrier metals Higher thermal conductivity materials Atomic layer conformal deposition

22 Example: Heterojunction Bipolar Transistor (HBT) W As doped emitter XTEM image of a SiGe:C HBTs W Poly SiGe:C/Si STI SiGe:C Emitter window Active region Thin, heteroepitaxial base layer IHP 2001

23 HBT: Boron on Outdiffusion the Key Problem n-emitter (Si) p-base (SiGe) n-collector (Si) Boron doping

24 HBT: Boron on Outdiffusion the Key Problem n-emitter (Si) p-base (SiGe) n-collector (Si) Boron doping

25 Boron Outdiffusion: The Problem Conduction band for heavily p-doped Si and SiGe (schematically) npn-si npn-si/sige/si Parasitic barriers

26 Material Solution: Suppression of Boron Outdiffusion by Carbon BORON SiGe SiGe:C B concentration Annealing B concentration Depth (nm) Depth (nm) SIMS investigations on real devices

27 SUMMARY: Dopant Diffusion in SiGe:C Model of C outdiffusion provides quantitative description Coupled diffusion of C and Si point defects Suppressed B diffusion due to C incorporation Interstitial undersaturation due to C outdiffusion diffusivity reduction of ~20 for < cm -3 C C suppresses also transient enhanced diffusion (TED) during damage annealing Boron and phosphorous diffusion is suppressed by C Interstitial-mediated dopant diffusion Antimony and arsenic diffusion is enhanced by C Vacancy-mediated dopant diffusion

28 SiGe:C Heterojunction Bipolar Transistor - First realization with MBE f T or f max (GHz) f max V CE = 2 V Emitter Area 0.5 x 5 µm² R SBi = 2.3 kω f T Collector Current (A) Ring Oscillator Delay CML-type, FI/FO = 1 25 HBTs, 0.9 x1.3 µm² t d ± σ = (12.9 ± 0.2) ps best value: 12.6 ps Osten et al. IEDM 97 Meanwhile both frequencies are above 200 GHz Si-based analog circuits for mobile communication

29 Modular Integration: BiCMOS for Everybody bipolar step corrected CMOS bipolar modul proce ss step CMOS classical BiCMOS modular BiCMOS

30 Modular Integration of SiGe:C HBTs into CMOS CMOS + HBT = digital BiCMOS IHP module can be integrated into nearly any CMOS process No changes in CMOS flow (modular integration) CMOS libraries can be re-used Adds only 4 additional masks to the process Requires only epi-sige:c CVD as a new step

31

32 Prognosis. There is no reason anyone would want a computer in their home Ken Olsen President, Chairman and founder of Digital, 1977

33 The Coming Years ITRS Roadmap Consult the oracle

34 The ITRS Consortium TWG Members by Regions Korea 64 8% Japan USA % 39% 19% Taiwan 161 8% Europe 68 TWG Members by Affiliations Research Inst. / Consortia / Other University 1% % 22% Equipment / Materials Suppliers 185 Chip Makers % Source: 2001 ITRS - Exec. Summary

35 The Plan for Globalization - ITRS Working Groups International Technology Working Groups (ITWG) Design International Crosscut Technology Working Group (ICCT WG) Environment Safety & Health Metrology Defect Reduction Modeling & Simulation Test Front End Processes Interconnect Lithography Process Integration Assembly & Packaging Factory Integration

36 The latest ITRS Roadmap (selected example) Year of Production: DRAM Half-Pitch [nm]: Overlay Accuracy [nm]: MPU Gate Length [nm]: CD Control [nm]: T OX (equivalent) [nm]: Junction Depth [nm]: Metal Cladding [nm]: Inter-Metal Dielectric K: Solution known R&D needed Solution unknown

37 The Red Brick Wall of Microelectronics Year of Production: DRAM Half-Pitch [nm]: Overlay Accuracy [nm]: MPU Gate Length [nm]: CD Control [nm]: T OX (equivalent) [nm]: Junction Depth [nm]: Metal Cladding [nm]: Inter-Metal Dielectric K: Solution known R&D needed Solution unknown

38 Ultrathin Gate oxide: 30 nm Transistor 30 nm FET (Intel)

39 Need for Alternative Gate Dielectrics Production year Technology Node (nm) Gate dielectric (SiO2 equivalent) thickness (Å) Gate bias, V dd (V) Leakage current for Vdd (for max. EOT) (A/cm²) > > > > > > > >

40 Alternatives Needed: thicker gate dielectric BUT: The capacity cannot be changed! C ~ K A / t Solution: Materials with higher dielectric constant (High-K) For a given capacitance, the possible layer thickne ss scale s with K Capacitance scales linearly with thickness Equivalent Oxide Thickne ss: EOT = t * 3.9/K

41 High-K Dielectrics: cs: Requirements Dielectric constant between 15 and 40 Chemical Stability against silicon No tendency for silicide formation No tendency for silicon oxide interfacial layer formation Compatibility with CMOS Process Includes thermal stability Selective etching Important parameter: Interface trap density (D it ) impacts carrier mobility Sufficiently high K and suitable band offsets to Si impacts leakage current (for FET and memory) Epitaxia l?

42 Search for Suitable Binary Oxides H not solid at 1000K He Li Be radioactive B C N O F Ne Na Mg Al Si P S Cl Ar K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe Cs Ba * Lu Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra ** Lr Rf Db Sg Bh Hs Mt * Lanthanoids La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb ** Actinoids Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No

43 Search for Suitable Binary Oxides Si + MO x M+SiO 2 ; MSi z + SiO 2 ; M + MSi x O y H not solid at 1000K He Li Be radioactive B C N O F Ne Na Mg Also not suitable Al Si P S Cl Ar K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe Cs Ba * Lu Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra ** Lr Rf Db Sg Bh Hs Mt * Lanthanoids La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb ** Actinoids Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No

44 Praseodymium Oxide: : Leakage Current 16 Au/Pr 2 O 3 /n-si capacitors 1.9 x 10-3 cm², EOT = 1.4 nm. Leakage current density for different dielectrics with EOT = 1.4 nm. J g (A/cm -2 ) Material J g (A/cm V g = 1V Pr 2 O 3 7*10-9 HfO 2 1*10-4 ZrO 2 5*10-4 SiO 2 ~ 1 SiO 2 (3 nm ) ~ V g (V) Osten et al. IEDM 2000 Lowest reported values for leakage current

45 Pr 2 O 3 Growth on Si(111) epi Si X-HREM of a 6 nm thick, hexagonal Pr 2 O 3 film grown epitaxially on a Si(111) substrate. h-pr 2 O 3 5 nm (111) Si Si overgrowth leads to the formation of epitaxial, (111) oriented silicon. Novel tunneling devices?

46 Epitaxial Growth of Heterolayers

47 Pseudomorphic Growth (Strained Layer) compressive strained SiGe (pseudomorphic) Si substrate

48 Relaxed Layer (Misfit Dislocations) relaxed SiGe mf dislocation Si substrate

49 Virtual Substrate (Strained Si Layer) tensile strained Si Relaxed SiGe Si Substrate

50 Band Gap for Strained SiGe and silicon 1.2 Band Gap (ev) at 90 K Strained SiGe on Si Relaxed SiGe Strained Si on relaxed SiGe Ge Concentration Strain reduces the band gap

51 SiGe Valley Splitting: Conduction Band [001] [010] Strained SiGe on Si [100] Strained Si on relaxed SiGe [001] [010] [001] [010] [100] Unstrained Si 6-fold degenerated [100] Intervalley scattering can be reduced Increase in carrier mobility

52 Strain and Carrier Mobility 2,5 Normalized Mobility 2,0 1,5 NMOS PMOS 1, Ge Concentration (%) of the Substrate Strained Si on relaxed SiGe

53 State-of-the-Art the-art CMOS with silicide 50 nm Gate length Strained Silicon 1.2 nm SiO 2 Nickel silicide 1.2nm SiO 2 Strained Si 1.2 nm INTEL: IEDM Dez. 2002

54

55 Scaling will go on

56 Moore s Law The number of transistors per chip doubles every 18 months Execution to Moore s Law is becoming more material dependent Further scaling will reach physical limits

57 Material Complexity is Compounding 50 Number of New Fab Materials Compared to the 0.35 m icron Baseline Revolutionary: Characterized by or resulting in radical change. Evolutionary: A gradual process in which something changes into a different and usually more complex or better form micron 0.18 micron 0.13 micron 0.13 micron (300 mm) Source: INTEL

58 Evolutionary Changes CMP: Composite abrasive slurries SILICON: Double-Sided Polished PHOTORESIST: 193 nm DIELECTRICS: Fluorine- & Carbon-Doped SiO 2 METALS Ta Barrier Salicides Target Assembly

59 Revolutionary Changes LOW K DIELECTRICS Spin-on polymers Porous materials HIGH K GATE DIELECTRICS Metal ox ides Mixed silicates METALS Dual Damascene Copper Advanced Bump Metallurgy instead of bonding Atomic Layer Deposition

60 Revolutionary Changes CHEMICAL-MECHANICAL POLISHING (CMP) Fixed & bonded abrasive pads Abrasive-free slurries SILICON Isotopically-enriched Si (28) Si on Insulator (FD or PD-SOI) PHOTORESIST 157 nm EUV CARRIER: FOUP

61 Power Density Continues to Get Worse P446 P P650 P P854 P P858 P P1262 P Watt/cm 2

62 Future Drivers Logic process technologies are driven by 3 key technology areas: Transistor performance Gate oxide quality, channel mobility, Leakage currents Patterning / etching of nanometer lines Low RC interconnects

63 Lithography: Yesterday, Today, and Tomorrow

64 Bridging the SubWavelength Gap

65 CPU Multi-layer layer Metal Increase Trend # of Metal Layers µ µ µ µ µ µ µ µ µ µ

66 Epi Epi 0.5µm P-WELL ELL P+ Substrate N-WEL L P-WELL ELL N-WEL L

67 RC Delay Assumption: two parallel interconnects will be treated as a capacitor t met t ox C = LW K ox ε 0 /t ox RC ~ ρ met K ox L²/t ox t met R = ρ met L/W t met Only a problem for long interconnects!

68 RC Delay Strategies: RC ~ ρ met K ox L²/t ox t met - lowest possible resistivity - thick interlayer dielectric - thick metal layer Limited by global design issues Interlayer with low K ox (low-k dielectrics, e.a. polymers)

69 Groups of Low-K Materials Reducing dielectric constant k Decreasing polarity 1 Decreasing density 2 Groups of materials Bulk dielectric constant Deposition SiO K CVD Fluorinated oxide low-k CVD Organosilicate glass 1, low-k CVD Organic polymer low-k CVD/SOD Porous organic polymer 1, ultra low-k SOD Porous organic polymer 1, extreme low-k SOD

70 Calculated Delay vs. Technology Generation Delay (ps) Gate Delay Al: 3.0 µωcm Cu + low-k Cu: 1.7 µωcm Al + SiO 2 SiO 2 : κ = 3.9 Total (Cu + low-k) Low K: κ = 2.0 Total (Al + SiO 2 ) Al & Cu: 0.8 µm thick 50 µm long Pitch Size (nm) Interconnect optimized circuit design will be needed

71 Cost of a Chip Factory Second Moore s Law Fab cost doubles every 3 years

72 Worldwide Semiconductor Sales $Billions * 2005* Source: SIA Fall 2002 Forecast * Forecast

73 Intel Toshiba NEC Samsung TI STMicro Motorola Hitachi Infineon Micron Hyundai Philips Mitsubishi Fujitsu TSMC* Lucent AMD IBM Matsushita Sony Sharp UMC* $35 $30 $25 $20 $15 $10 $5 $0 Top 22 Chip Manufacturers 2000 Final 2000 numbers from Dataquest* '98 ($B) '99 ($B) '00 ($B) Source: Dataquest, *TSMC and UMC annual reports the latter don't sell chips into the market

74 The Need for Globalization 90 s 21 st Century Technology Economics manufacturer manufacturer Global Semiconductor Industry

75 Mega Business Trends Cost of Fab, instead of technology breakthrough, impacts global industry food chain size matter; CPU, DRAM alliance Foundry fab (TSMC and others) Migration to Developing Country will accelerate Foundry to Asia (Taiwan, China ) Fabless design to Taiwan, Israel, Russia, India

76 Asia Pacific Leads $Billions 90 Regional Semiconductor Market * 2003* 2004* 2005* Americas Europe Japan Asia Pacific Source: SIA Fall 2002 Forecast *2002 Grow th Rates

77 Growth of the Internet Mill World population TV & Telephone PC 100 Internetter

78 Wireless Internet Wireless (of course!) But much more than wireless access to the net Personal Accessed by personalized, handheld terminals Wearable computers Location aware Information & services you need where you happen to be Context aware You need a ticket at the train station Transaction based

79 Web Tablet

80 Nanoelectronics

81 Other New Concepts (here: Memories)

82 Visions Integration of Opto and Microelectronics on one Chip

83 New Device Concepts Poly Gate Gate Oxide Source Body Drain Silicon Film Body Buried Oxide Substrate Source Gate Drain Source Drain BOX Si fin - Body!

84

85 Beyond the classical FET 1) MOSFET 3) CNTFET V S V G V D 2) SBFET 4) Molecular transistors? V G V D V G V S V S V D

86 Scaling will reach physical limits. 10 nm MOSFET Molecule or?

87 Carbon Nanotubes

88 Summary Innovations on all levels are needed for future progress Materials (high-k, low-k, new metals, SOI, ) Device concepts (3D integration, ) IC architectures (interconnect optimized design, ) The classica l microelectronic (CMOS, ) is getting closer to its limits Material limits Technical limits Economical limits (too expensive) Nanoelectronics Devices with small number of electrons Molecular approaches Fabrication by self organization Various quantum effects (spintronic, quantum computing)

Families on the Periodic Table

Families on the Periodic Table Families on the Periodic Table Elements on the periodic table can be grouped into families based on their chemical properties. Each family has a specific name to differentiate it from the other families

More information

Chemistry*120* * Name:! Rio*Hondo*College* * * EXAMPLE*EXAM*1*!

Chemistry*120* * Name:! Rio*Hondo*College* * * EXAMPLE*EXAM*1*! Chemistry*120* * Name: EXAMPLE*EXAM*1* Exam)#1 100)points) Directions:)Answereachquestionbelowtothebestofyourability.Showallworkwherecalculations arerequired.aninformationsheetwithaperiodictableisattachedtothebackoftheexam;youmay

More information

Work hard. Be nice. Name: Period: Date: UNIT 2: Atomic Concepts Lesson 1: Give me an element, any element

Work hard. Be nice. Name: Period: Date: UNIT 2: Atomic Concepts Lesson 1: Give me an element, any element 1 Name: Period: Date: KIPP NYC College Prep General Chemistry UNIT 2: Atomic Concepts Lesson 1: Give me an element, any element Do Now: By the end of today, you will have an answer to: How do you know

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Manufacturing, Cleaning, Gettering - Chapter 4 FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Over the next several weeks, we ll study front

More information

p-rich beams for SPES-α

p-rich beams for SPES-α p-rich beams for SPES-α LNL-INFN The SPES TIS complex ion source 1+ transfer line proton beam SPES production target The Target: ready for irradiation ITarget= 700A -> 1200A max ILine= 200A -> 600A max

More information

The Criticality of Materials

The Criticality of Materials Center for Industrial Ecology Yale School of Forestry & Environmental Studies The Criticality of Materials Thomas E. Graedel Yale University Metal Linkages in the New Mineralogy +4 Elements +45 Elements

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Where do we start? ocreate the Universe oform the Earth and elements omove the elements into their correct positions obuild the atmosphere and oceans

Where do we start? ocreate the Universe oform the Earth and elements omove the elements into their correct positions obuild the atmosphere and oceans Where do we start? ocreate the Universe oform the Earth and elements omove the elements into their correct positions obuild the atmosphere and oceans 1 The BIG BANG The Universe was created 13.8 billion

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Environment Canada s Metals Assessment Activities

Environment Canada s Metals Assessment Activities Environment Canada s Metals Assessment Activities Joël Gauthier Environment Canada OECD Workshop on Metals Specificities in Environmental Risk Assessment September 7-8 2011, Paris Assessing substances

More information

Chem. 451 (Spring, 2003) Final Exam (100 pts)

Chem. 451 (Spring, 2003) Final Exam (100 pts) Chem. 451 (Spring, 2003) Final Exam (100 pts) Name: --------------------------------------------------------, SSN: --------------------------------, May 15, 2003 LAST, First Circle the alphabet segment

More information

Evidence of Performance regarding the requirements for float glass according to EN 572

Evidence of Performance regarding the requirements for float glass according to EN 572 Evidence of Performance regarding the requirements for float glass according to EN 572 Test Report 605 32401 Client Noval Glass Industrial Group (China) Co., Ltd. Noval Glass Industiral Zone Qingdao, 523965

More information

EIP RAW MATERIALS ANNUAL CONFERENCE Janez Potočnik Co-Chair International Resource Panel - UNEP Brussels, January 13 th, 2015

EIP RAW MATERIALS ANNUAL CONFERENCE Janez Potočnik Co-Chair International Resource Panel - UNEP Brussels, January 13 th, 2015 EIP RAW MATERIALS ANNUAL CONFERENCE Janez Potočnik Co-Chair International Resource Panel - UNEP Brussels, January 13 th, 2015 POPULATION GROWTH PER CAPITA CONSUMPTION GROWTH THE DOUBLING STORY LIMITED

More information

Groups of Elements 3B 5B 6B 7B 2 C. 10 Na. 36 Rb. 54 Cs. 86 Fr. 57 Ac. 71 Th. Nitrogen group. Alkali metals. Alkaline earth metals.

Groups of Elements 3B 5B 6B 7B 2 C. 10 Na. 36 Rb. 54 Cs. 86 Fr. 57 Ac. 71 Th. Nitrogen group. Alkali metals. Alkaline earth metals. Groups of Elements * * Li He C N O 8 F 9 Ne 0 B Be H Al Si P S Cl Ar 8 K 9 Ca 0 Sc Ti V Cr Mn Fe Co Ni 8 Cu 9 Zn 0 Ga Ge As Se Br Kr Rb Sr 8 Y 9 Zr 0 Nb Mo Tc Ru Rh Pd Ag Cd 8 In 9 Sn 0 Sb Te I Xe Cs Ba

More information

Lanthanide metals as shark repellants

Lanthanide metals as shark repellants Lanthanide metals as shark repellants Stephen M. Kajiura 1 & John W. Mandelman 2 1 Biological Sciences, Florida Atlantic University 2 Edgerton Research Laboratory, New England Aquarium Longline bycatch

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 7: Chemical systems

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 7: Chemical systems CLASS TEST GRADE PHYSICAL SCIENCES: CHEMISTRY Test 7: Chemical systems MARKS: 45 TIME: hour INSTRUCTIONS AND INFORMATION. Answer ALL the questions. 2. You may use non-programmable calculators. 3. You may

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

The contemporary Nickel Cycle

The contemporary Nickel Cycle Center for Industrial Ecology Yale School of Forestry & Environmental Studies The contemporary Nickel Cycle (selection only) Barbara Reck April 24, 2006 Note The slides shown hereafter only include a selection

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

Tailor-made Polyhedral Oligomeric Silsesquioxane (POSS) Molecules for Thermosets

Tailor-made Polyhedral Oligomeric Silsesquioxane (POSS) Molecules for Thermosets Tailor-made Polyhedral Oligomeric Silsesquioxane (POSS) Molecules for Thermosets Bruce X. Fu, Chris DeArmitt, Joe Schwab September, 2008 Hybrid Plastics, Inc. Hybrid Plastics (Hattiesburg, MS) Current

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

UK Baseline Geochemistry: A Key Environmental Yardstick

UK Baseline Geochemistry: A Key Environmental Yardstick UK Baseline Geochemistry: A Key Environmental Yardstick Geochemical Baselines and Medical Geology Team Content Geochemical baselines G-BASE project Applications how the data have been used and what can

More information

Part 1. Preparation and Color of Solutions. Experiment 1 (2 session lab) Electrons and Solution Color. Pre-lab Report, page 29

Part 1. Preparation and Color of Solutions. Experiment 1 (2 session lab) Electrons and Solution Color. Pre-lab Report, page 29 Experiment 1 (2 session lab) Electrons and Solution Color Pre-lab Report, page 29 Session 1 One hour discussion (E2) Two hour lab (E1) Aim to complete Parts 1, 2, and 3 of E1. Part 1. Preparation and Color

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Bench-Scale Testing for Controlling Desalinated Seawater Quality

Bench-Scale Testing for Controlling Desalinated Seawater Quality Bench-Scale Testing for Controlling Desalinated Seawater Quality Tai J. Tseng, Robert C. Cheng, Cynthia Andrews-Tate, and Kevin Wattier Long Beach Water Department November 17, 2008 2008 WQTC, Cincinnati,

More information

State-of-the-art Flame Synthesis of Nanoparticles

State-of-the-art Flame Synthesis of Nanoparticles Verbrennung und chemisch reaktive Prozesse in der Energie- und Materialtechnik State-of-the-art Flame Synthesis of Nanoparticles Dr. Frank Ernst ernst@ptl.mavt.ethz.ch 200 nm Particle Technology Laboratory,

More information

This resource contains three different versions of the periodic table, including a blank one for colouring!

This resource contains three different versions of the periodic table, including a blank one for colouring! Teaching notes This resource contains three different versions of the periodic table, including a blank one for colouring! It also contains tables of the Group 0, 1 and 7 elements with a few columns for

More information

Thin film deposition for next generation DRAM structures

Thin film deposition for next generation DRAM structures Thin film deposition for next generation DRAM structures ISPR 2017 13.09.2017 J. Torgersen, F. Berto, F. Prinz, W. Cai NTNU Trondheim/ Stanford University NTNU 10/16/2017 40000 students 50 faculties Nobel

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Dr Nick Voulvoulis. Presentation at the Industrial Waste & Wastewater Treatment & Valorisation conference May 2015, Athens, Greece

Dr Nick Voulvoulis. Presentation at the Industrial Waste & Wastewater Treatment & Valorisation conference May 2015, Athens, Greece Centre for Environmental Policy Environmental Quality Research Mining in the context of sustainable management of natural capital: the importance of waste recycling and reuse Presentation at the Industrial

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

E3 Redox: Transferring electrons. Session one of two First hour: Discussion (E1) 2nd and 3rd hour: Lab (E3, Parts 1 and 2A)

E3 Redox: Transferring electrons. Session one of two First hour: Discussion (E1) 2nd and 3rd hour: Lab (E3, Parts 1 and 2A) E3 Redox: Transferring electrons Session one of two First hour: Discussion (E) 2nd and 3rd hour: Lab (E3, Parts and 2A) Oxidation-Reduction (Redox) Reactions involve electron transfer. Change in charge

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

September 30, IB Syllabus. So, here's the order and you will have to memorize the order! But we can also use the periodic table!

September 30, IB Syllabus. So, here's the order and you will have to memorize the order! But we can also use the periodic table! So, here's the order and you will have to memorize the order! 1 H Hydrogen 3 4 Li Na Be Lithium Beryllium 11 12 Mg Sodium Magnesium But we can also use the periodic table! 5 6 7 8 9 10 B Al C Si N P O

More information

The International Technology Roadmap for Semiconductors (ESH THRUST)

The International Technology Roadmap for Semiconductors (ESH THRUST) The International Technology Roadmap for Semiconductors (ESH THRUST) 2000 Jim Jewett Intel Corporation The ITRS is a document which identifies technology needs and possible solutions From NTRS to ITRS

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Review Literature for Mosfet Devices Using High- K

Review Literature for Mosfet Devices Using High- K Review Literature for Mosfet Devices Using High- K Prerna Teaching Associate, Deptt of E.C.E., G.J.U.S. &T., INDIA prernaa.29@gmail.com Abstract: With the advancement of MOS devices over 40 years ago,

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Chem : Oct. 1 - Oct. 7

Chem : Oct. 1 - Oct. 7 Chem. 125-126: Oct. 1 - Oct. 7 Preparation Pre-lab Report (p.90) for E3 completed Discussion Presentation for E2 completed Pre-lab reading and studies for E3 completed Agenda One hour discussion of E2(Questions,

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Chem. 451 (Spring, 2005) Final Exam (100 pts)

Chem. 451 (Spring, 2005) Final Exam (100 pts) hem. 451 (Spring, 2005) Final Exam (100 pts) Name: --------------------------------------------------------, Student lid #: ----------------------, May 7, 2005 LAST, First ircle the alphabet segment of

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Chem : Feb.12-17

Chem : Feb.12-17 Chem. 25-26: Feb.2-7 Preparation Pre-lab Report (p.90) for E3 completed Discussion Presentation for E2 completed Pre-lab reading and studies for E3 completed Lab Agenda One hour discussion of E 2(Questions,

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Name: 1: /33 Grade: /100 2: /33 3: /33 +1 point. Midterm Exam 2. CHEM 181: Introduction to Chemical Principles October 13, 2015

Name: 1: /33 Grade: /100 2: /33 3: /33 +1 point. Midterm Exam 2. CHEM 181: Introduction to Chemical Principles October 13, 2015 Name: 1: /33 Grade: /100 2: /33 3: /33 +1 point Directions: Do all three problems. Midterm Exam 2 CHEM 181: Introduction to Chemical Principles October 13, 2015 Show all of your work neatly and clearly.

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

8. Relax and do well.

8. Relax and do well. EM 1515.001 Exam III John III. Gelder November 7, 2001 Name TA's Name Lab Section INSTRUTIONS: 1. This examination consists of a total of 9 different pages. The last three pages include a periodic table,

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Lower Cost Higher Performance Graphite for LIBs. Prepared by: Dr. Edward R. Buiel President and CEO Coulometrics, LLC. Date: March 23, 2017

Lower Cost Higher Performance Graphite for LIBs. Prepared by: Dr. Edward R. Buiel President and CEO Coulometrics, LLC. Date: March 23, 2017 Lower Cost Higher Performance Graphite for LIBs Prepared by: Dr. Edward R. Buiel President and CEO Coulometrics, LLC. Date: March 23, 2017 Outline Company overview Review of natural graphite resources

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

1

1 Analog Chip CAD design tools Process technology Physical layout with L-Edit Neuromorphic Engineering 2 Lecture 3 Cadence Virtuoso Composer XL Schematic editor Cadence Virtuoso Layout XL Layout editor Mentor

More information

Te Mātauranga Matū, Kaupae 1, 2018

Te Mātauranga Matū, Kaupae 1, 2018 L1 CHEMMR 991108 1 Te Mātauranga Matū, Kaupae 1, 2018 2.00 i te ahiahi Rāpare 15 Whiringa-ā-rangi 2018 PUKAPUKA RAUEMI Tirohia tēnei pukapuka hei whakatutuki i ngā tūmahi o ō Pukapuka Tūmahi, Tuhinga hoki.

More information

Periodic Table Of The Elements

Periodic Table Of The Elements H Hydrogen NOTE: The classification of some elements, especially METALLOIDS and OTHER METALS, is often artbitrary because these elements have characteristics of both 8 9 0 Li Be metals and nonmetals. As

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information