Interconnection M aterials, Pro cesses and Tools fo r Fine-pitch Panel Asse mb ly of Ult ra-thin Glass Substrates

Size: px
Start display at page:

Download "Interconnection M aterials, Pro cesses and Tools fo r Fine-pitch Panel Asse mb ly of Ult ra-thin Glass Substrates"

Transcription

1 Interconnection M aterials, Pro cesses and Tools fo r Fine-pitch Panel Asse mb ly of Ult ra-thin Glass Substrates Vanessa Smet, Ting-Chia Huang, Satomi Kawamoto #, Bhupender Singh, Venky Sundaram, Pulugurtha Markondeya Raj and Rao Tummala 3D Systems Packaging Research Center, Georgia Institute of Technology, Atlanta, USA # Namics Corporation, Niigata, Japan vanessa.smet@prc.gatech.edu Abstract The needs for higher speed and bandwidth at low power for portable and high-performance applications has been driving recent innovations in packaging technologies with new substrate platforms with finer lithographic capability and dimensional stability, such as ultra-thin glass, to enable offchip interconnections pitch scaling, down to 30µm. Copper pillar flip-chip thermocompression bonding (TCB) has subsequently become a pervasive technology in the past decade, and is now considered as the next interconnection and assembly node for smart mobile and high-performance systems. However, additional innovations are needed to achieve high-throughput thermocompression bonding on fragile and thin glass, with short cycle times and process conditions within HVM (high-volume manufacturing) tool capability. These include material advances in surface finishes and pre-applied underfill materials with built-in flux, along with a unique co-development strategy to provide highspeed solutions with optimized TCB profiles that consider the dynamic thermal behavior of high-density glass substrates, underfill curing kinetics, as well as tool compatibility. These innovations are the key focus of this paper. Finite element heat transfer and thermomechanical modeling were carried out to emulate assembly processes and compare the behavior of glass substrates to that of current technologies. Residual stresses created during the cool-down phase were extracted to help define process windows for stress management in interconnections, by fine control of intermetallics (IMC) formation. Emerging surface finish chemistries compatible with high-density wiring with sub- 10 m spacings, such as OSP or EPAG (electroless Pd, autocatalytic Au) finish, were also evaluated for their effect on the formed IMC systems. A new set of no-flow snap-cure underfill materials with high thermal stability, beyond existing conductive films or pastes, was developed in synergy with tools and processes for compatibility with advanced substrate technologies. Model predictions were validated with assembly trials on ultra-thin glass and organic substrates with 100µm thin cores. Design guidelines for bonding tools, materials and processes were finally derived, for high-speed thermocompression bonding, customized to the performance, reliability and cost needs of next-generation mobile and highperformance systems. Introduction Smart mobile and high-performance systems constitute two of the fastest moving markets, with aggressive increase in bandwidth, functionality and miniaturization requirements. These are met by increasing I/O densities for applications processors and logic devices, and added memory, following the shrinkage of the silicon die node. This unprecedented growth rate has led to significant advances in IC packaging technologies, including off-chip interconnections pitch scaling, projected to reach below 30µm in the coming decade. Traditional reflowed solder used for flip-chip assembly, despite their many advantages such as bump collapse and selfalignment, good wettability and accommodation of noncoplanarities and warpage, have reached their pitch limitation at 150µm in high-volume manufacturing, severely constraining I/O densities [1, 2]. Copper pillar flip-chip thermocompression bonding has become the technology of choice for further pitch scaling with consistently high yield and reliability [3, 4]. The Cu pillars typically have a thin SnAg solder cap that provides a limitedly-collapsible finepitch bump with higher flexibility compared to solid-state systems such as Au stud bumps. Thermocompression bonding is also highly beneficial for warpage reduction, as the heat is generally applied through the die, the substrate typically being maintained at a low temperature, ideally below that of the glass transition temperature of laminates. Precise and dynamic control of the thermal gradient and assembly sequence is however critical for warpage control, and subsequent potential cracking of the fine-pitch solder joints [5, 6]. Further, the use of no-flow underfills and non-conductive films or pastes (NCF or NCP) has been demonstrated as the most promising solution to handle ultra-thin low-k dies, protect the small and fragile Cu pillar interconnections and increase throughput by combining the assembly and underfilling steps [7-11]. Snapcuring of the pre-applied underfill in assembly was shown to reduce stress on the die, in the interconnections, and to further reduce substrate warpage [2]. A variety of pre-applied materials with built-in fluxing action have been developed by various research groups and by industry, for commercial solutions compatible with production tools and processes [12-16]. The composition of such materials, including fluxing agents, filler sizes and content, is finely tuned for viscosity and flowability control, adequate curing kinetics for highspeed bonding and enhanced reliability [16, 17]. The TC + NCP (thermocompression with non-conductive paste) process has been demonstrated at 25um pitch in lab-scale, and is readily in OSATs mass production at 40um area array pitch, qualified on die sizes of 10mmx10mm and below [2, 8]. However, a compromise has to be found between performance and cost, as the throughput of thermocompression processes is inherently limited by their serial nature in opposition to batch reflow. In parallel, emerging 2.5D and 3D integration trends reinforced the needs for low-cte substrates with high dimensional stability and sub-5µm lithographic design rules, such as silicon, low-cte laminates and more recently ultra /15/$ IEEE Electronic Components & Technology Conference

2 thin glass [18, 19]. Interposer technologies entered highvolume production in 2011 with Xilinx Virtex 7 products, while stacked memories have recently entered the market with HMC, HBM, DRAM and wide I/O technologies [6]. Innovations in surface finish and pre-applied underfill materials are required to maintain compatibility of current assembly processes with these advanced substrate platforms. Indeed, standard finishes like ENIG and ENEPIG face fundamental limitations with increased risks of bridging due to thick Ni when applied to high-density wiring with sub- 10um spacings between Cu traces [20]. Ni-free finishes, such as OSP or the new EPAG (electroless Pd, autocatalytic Au) finish developed by Atotech GmBH, have been proposed to address this challenge, but the elimination of the barrier layer leads to the formation of thicker intermetallic (IMC) systems because of faster interdiffusion rates, which in turns affect the final joints composition and their reliability [20]. Stress management in the package structure is addressed by the use of no-flow underfill materials. A new class of no-flow underfills with thermal stability beyond that of existing materials is also highly sought after to enable high-speed thermocompression bonding on high-density ultra-thin substrates with high thermal conductivity. Efforts are also ongoing to develop novel snap-cure materials with built-in fluxing action and thermal stability over 100 C, exceeding the throughput and reliability performance of current NCP and NCF materials. A synergistic approach towards material design, development and qualification of novel interconnection and assembly materials, tools and processes is thus required to advance thermocompression bonding to the next node, while maintaining throughput, cost and reliability performances. This paper reports a unique co-development strategy to address this grand challenge, introduced in the first section. It starts with the finite element heat transfer modeling of the assembly process to compare the behavior of glass to that of silicon and low-cte laminates. The effect of substrate thickness and density on steady-state and dynamic heat transfer was evaluated with respect to bonding heating profiles and throughput. Thermomechanical modeling was conducted to extract residual stresses produced during the assembly cool-down phase, especially at the solder-imcs interface. Novel surface finish chemistries such as EPAG and time-dependent plasticity (creep) of solders were considered in this parametric study. Interfacial stresses were found to dramatically increase with the reduction of solder volume subsequent to pitch scaling, bringing serious concerns for post-assembly failures. Daisy chain structures at 50µm inline and 40/80µm pitch were fabricated on test wafers and then assembled on 100µm-thick glass and organic substrates to verify the model predictions, leading to design guidelines for implementation of materials and processes for thermocompression bonding with considerations of chippackage interactions. Rationale for materials, tools and processes co-design A focused co-development strategy was defined with materials development, customization of assembly processes, and considerations to bonding equipment to achieve assembly of fine-pitch solder-capped Cu pillars on ultra-thin glass substrates. The interdependence of processes, particularly heating profiles, materials including surface finish, preapplied underfill and substrate core, package and interconnection structures and thermocompression tool is captured in Fig. 1. Fig. 1. Chip-package interactions with interdependence of assembly materials, processes and tools leading to codevelopment strategy. In particular, the heating profile is designed to attain the melting point of the selected solder alloy based on the dynamic heat transfer through the substrate. It is thus conditioned by the substrate thickness and material properties such as thermal conductivity or density, but also needs to take into account the tool capability, maximum admissible temperatures by the die and its low-k layers and thermal stability of the no-flow underfill material. In typical thermocompression flip-chip assemblies, the pre-applied underfill is dispensed on all bonding sites available on a substrate strip or panel. The temperature of the stage onto which the substrate is resting thus needs to stay below the thermal stability limit of the underfill throughout the process, to prevent any early flux activation, reaction or polymerization of the polymer that would degrade the assembly yield. As most no-flow underfills are epoxy-based systems, their thermal stability temperature limit is typically low, in the 70 90C range. To provide adequate stress relief and control the micro-bump shape, initiation of the underfill polymerization is required prior to cooling, thus limiting the time at reflow temperature. Polymerization in epoxy systems is a time- and temperature-dependent mechanism, illustrated in Fig. 2. Snap-cure NCPs are expected to reach this stage in under 3s at 260C [15]. Full cure of the underfill to attain the final stable properties is achieved by batch oven-cure. The thermal gradient between tool head and stage also conditions warpage and residual stresses in interconnections, and can lead to post-assembly early failures if not appropriately defined. Further, reliability of the Cu pillar joints is highly dependent on residual stresses created by cooling the assembly from the stress-free point corresponding to the solder liquidus temperature down to room temperature. Considering the viscoplastic nature of solder alloys implying a time-dependent plasticity, residual stresses proportionally increase with cooling rates. Stresses at the IMC-residual solder interfaces vary with the intermetallics to solder volume ratio, and can be further limited by a fine control of the 476

3 intermetallics growth. As interdiffusion occurs at a much faster rate in liquid phase, the thickness of intermetallics formed during assembly is mostly defined by the time spent over the melting point of the solder, and the intermetallic system formed, depending on the surface finish applied on the bond pad and the potential presence of a Ni barrier layer between the Cu pillar and its solder cap. Fig. 2. Illustration of the time- and temperature-dependence of the curing behavior of an epoxy-base no-flow underfill. With materials, processes and tools so intricately coupled, the ultimate goal is to develop global solutions for high-speed thermocompression bonding on ultra-thin glass considering chip-package interactions. Assembly process modeling In order to understand the specificity of assembly on highdensity ultra-thin glass substrates, thermal modeling was carried out to emulate the thermocompression bonding process. 2D FEM Model: Effect of Substrate Materials The simplified 2D finite element model (FEM) of a Si die assembly with fine-pitch Cu pillar interconnections shown in Fig. 3 was first built to compare the behavior of 100µm-thick glass substrates to that of silicon and organic (FR-4) substrates of the same thickness. The thermal properties of all substrate materials are reported in Table 1. Table 1. Thermal properties of silicon, glass and FR-4. Material property Silicon Glass FR-4 Thermal conductivity (W/m.K) Density (g/cm 3 ) Heat capacity (J/g.K) A standard production heating profile was applied as loading condition with the stage maintained at 70 C throughout the process and the tool head ramped up to a peak temperature of 400 C, considering the thermal stability limits of no-flow underfills and the bonding tool s capability. The steady-state temperature reached in the solder cap is represented in the graph of Fig. 3 for organic, silicon and glass substrates. The values should be used only for semiquantitative comparison purposes but not as absolute values because of model simplifications. The steady-state temperature strongly depends on the substrate thermal conductivity, its inherent capacity to dissipate heat conditioning its ability to create the necessary temperature gradient within the package structure to reach the melting point of the solder alloy. Solder caps are typically made of SnAg alloys of various compositions, with melting points in the C range. Glass exhibited a similar behavior as FR-4, with steady-state temperatures in the solder nearing its melting point. This was expected as glass inherently has similar properties as organic materials, qualifying as a thermally insulating material, as opposed to silicon which is an excellent thermal conductor, with a thermal conductivity an order of magnitude higher than that of glass and FR-4. However, it has been demonstrated that the thermal properties of glass could be made to match that of silicon by incorporating Cu-filled through-package vias in the glass core, acting as pathways for thermal dissipation [21, 22]. The thermal behavior of glass can thus vary from that of an insulating material like organics to that of a highly conductive material like Si depending on its metal loading, determined by routing metal layers and Cu-filled through-package and blind vias. This constitutes the specificity of assembly on highdensity glass substrates, with required customization of the heating profiles to the considered package structure. Fig. 3. 2D FEM heat transfer model and steady-state temperatures in solder cap of a Cu pillar flip-chip assembly formed at 70 C stage temperature and 400 C tool peak temperature, on 100µm-thick glass, silicon, and organic substrates, respectively. This simple modeling also highlights the need for higher stage temperatures to reach the melting point of solders when assembling on highly-conductive substrates. A new class of no-flow underfill with thermal stability above 100 C is thus required, which is very challenging in epoxy-based systems. 3D Single-bump Model: Steady-state & Dynamic Behaviors In order to predict the temperature distribution in assembly more accurately, a more realistic 3D FEM model was build, as shown in Fig. 4. The modeled package structure comprises of a 600µm-thick Si die and a substrate with a 100µm-thick glass core, coated on both sides by a 20µm-thick polymer acting as build-up layer to support Cu metal routing. The interconnection structure was an ultra-short Cu pillar, 5µm in height, with a 10µm solder cap, built on a Cu pad on the die 477

4 side and landed on a similar pad on the substrate side. The bump diameter was scaled using the standard half-pitch design rule applied in industry. A bump diameter of 25µm with a 50µm inline pitch was considered for the first evaluation and model calibration. To reduce the model complexity, the underfill material was not considered in this preliminary study. Adiabatic air convection at room temperature was applied as boundary condition on the microbump sidewalls, representing a worst-case scenario from a heat transfer standpoint, but an accurate emulation of assembly with flux. The temperatures of the stage and bond head were imposed as boundary conditions in the axial direction. was obtained for a h value of 100 W/m 2.K, which was applied in all further modeling. Fig. 5. Experimental set-up for calibration of h thermal convection coefficient, with a thermocouple pressed between a Si die and the bonder stage. Fig. 6. Experimental and modeling predictions of the steadystate temperature in bumps as a function of the tool peak temperature with parametric variations of h coefficient. Fig. 4. 3D FEM model of a single Cu pillar and solder cap interconnect between a Si die and a glass substrate with 2 metal layers. Based on Fourier s law of heat conduction, the steadystate temperatures reached in the bumps not only depends on the thermal loading, but also on the thermal resistances, in particular the contact resistances between the tool head and the Si die, and between the substrate and the bonder stage. These contact resistances are equipment-dependent, and can be implemented in the model by using a thermal convection boundary with an empirically defined thermal convection coefficient h. The experimental set up of Fig. 5 was used to fit the h coefficient, taking into account the interaction with the Finetech Matrix semi-automatic flip-chip bonder used for labscale developments. Expected temperatures for different thermal loadings were measured using a thermocouple. Simulations were run with three values of h coefficients: 50, 100 and 1000 W/m 2.K. A deviation between measurements and predicted values of the steady-state temperature in the bumps was expected due to the thermal resistance between die and thermocouple. The optimal thermal convection coefficient was thus selected by matching the slope of the experimental and modeling curves of the steady-state bump temperature as a function of the tool head temperature for a stage temperature of 70 C. As can be seen from the graph of Fig. 6, the best fit The evolution of the steady-state temperature achieved in the solder micro-bump as a function of the peak temperature of the bond head is plotted in Fig.7, for assembly on 100µmthick glass and FR-4 substrates, respectively. The predicted temperatures only vary by a few degrees for both substrates, confirming a similar heat transfer behavior in steady-state. A tool temperature of ~390 C is required to achieve the melting point of SnAg alloys (230 C) with a stage temperature of 70 C. For uniform reflow of the solder, higher bump temperatures, in the C range, are desirable. Stage temperatures of 90 C and above should thus be considered for good joint formation. Dynamic heat transfer modeling was carried out to evaluate the time required to reach steady state, which limits the assembly cycle time. Results are presented in Fig. 8 for assembly on 100µm-thick glass with 2 heating rates: 6 C/s which is the maximum heating rate achievable with the labscale equipment used in this study, and 200 C/s, which corresponds to production rates. If the steady-state temperature in the bumps can be achieved in under 10s in production conditions, it takes about a minute to reach the same condition with the lab-scale Finetech bonder. 478

5 3D Daisy Chain Model: Effect of Interconnection Pitch In order to evaluate potential thermal coupling effects between adjacent bumps with pitch scaling, a 3D daisy chain model comprising of a hundred Cu pillar interconnections stitched together was built, as shown in Fig. 9. Simulations were run for pitches in the µm range, with proportional re-scaling of the bump diameter to half the pitch, and with 2 different boundary conditions: air convection and presence of a no-flow underfill. In both cases, the predicted steady-state temperatures in the solder bumps varied by 0.1 C at most between the single-bump and daisy chain model, as confirmed by the values reported in Table 2. The dynamic behavior was also found identical, indicating that there is no strong coupling between adjacent bumps, even at ultra-fine pitch. Consequently, a single-bump model is sufficient to provide a realistic emulation of the assembly process. Fig. 7. Predicted steady-state temperature attained in solder cap as a function of the tool peak temperature, with stage temperatures of 70 and 90 C, and assembly on glass and organic substrates, respectively. Dynamic heat transfer is predominantly governed by the substrate density and thickness, and was expectedly found similar for ultra-thin organic and glass substrates. The bump temperature was found to increase at the same rate as the heating of the tool head, even in production conditions, indicating that these rates are below the theoretical limitation of the package structure. Assembly cycle times thus derive from thermocompression tools capability, and the targeted temperature gradient between tool head and stage. Higher stage temperatures of 100 C and above would subsequently result in an increase in assembly throughput. Fig. 8. Dynamic heat transfer modeling of assembly on 100µm-thick glass with tool peak temperatures of 350 (black), 370 (blue) and 400 C (red), a constant stage temperature of 70 C, and heating rates of 6 and 200 C/s. Fig. 9. 3D model consisting of 100 interconnections stitched in a daisy chain pattern for evaluation of interconnection pitch effect. Table 2. Steady-state temperature in the Cu pillar bump as a function of the interconnection pitch with a 100µm-thick glass substrate (assembly with a stage temperature of 70 C and peak tool head temperature of 400 C). Pitch / bump diameter (µm) Steady-state temp. in bump ( C) Single bump Daisy chain 20 / / / / / Experimental validation of model predictions A matrix of experiments was conducted with a simple test vehicle consisting of an IC chip bonded on a Cu-clad organic substrate to validate the model predictions. The thickness of the organic substrate was varied from 100 to 800µm. The 479

6 details of the test vehicle and bonding conditions are shown in Fig. 10. Assembly was carried out by thermocompression bonding with flux and capillary underfill. Fig. 12. Die-to-package measurements for bump collapse evaluation with varying substrate thicknesses and bonding conditions. Fig. 10. Test vehicle and bonding conditions for empirical validation of the modeling data. The heating profiles were evaluated based on the quality of the formed joints with regards to wetting and intermetallics formation, as well as collapse of the micro-bumps. The peak temperature of the tool was varied from 290 to 390 C for each substrate thickness, while the stage temperature was maintained at 70 C. Results from this empirical evaluation are reported in Fig. 11. While proper wetting was obtained at a tool peak temperature as low as 350 C for a 800µm-thick substrate, as confirmed by uniform IMC formation at the bonded interface, adequate solder melting was not achieved in the case of a 100µm-thick substrate, even when rising the tool temperature up to 390 C. These results are further confirmed by the die-to-package gap measurements, representative of the collapse of the micro-bumps, presented in Fig. 12. The minimum gap was obtained for the thickest substrate with uniform values from 350 C tool peak temperature. Adequate collapse was achieved from 390 C for the 400µm-thick substrate, but limited collapse was observed for the 100µmthick substrate in all conditions. As predicted by thermal modeling, a stage temperature higher than 70 C is required to achieve proper melting of the solder with ultra-thin substrates, with tool peak temperatures below 400 C. Fig. 11. Experimental matrix for thermal model validation: cross-section of assemblies showing IMC formation and solder wetting with varying substrate thicknesses and bonding conditions. As predicted by thermal modeling, a stage temperature higher than 70 C is required to achieve proper melting of the solder with ultra-thin substrates, with tool peak temperatures below 400 C. The stage temperature was raised to 90 C and the results from both series of experiments are compared in Fig. 13. Proper solder wetting and bump collapse could then be achieved with a peak temperature of 390 C. Fig. 13. Comparison of IMC formation and solder wetting with a 100µm-thick organic substrate with the bonding heating profile. A second test vehicle, emulating a mobile processor, was used to further validate these results, consisting of a 10mmx10mm Si die, 200µm in thickness, comprising ~5500 interconnections with 4 peripheral rows at 40/80µm staggered pitch, and a central area array at 150µm pitch in a daisy chain configuration. The micro-bumps were Cu pillars, 15µm in height, with a 2µm Ni barrier layer, and a 17µm-thick SnAg solder cap. The die was assembled on a 100µm-thick glass substrate with multilayered routing by thermocompression bonding with a stage and a tool peak temperature of 90 C and 390 C, respectively. Assembly was carried out with flux, and capillary underfill (CUF) was applied after completion of the bonding process. The assembly yield was confirmed by electrical measurements of the daisy chain resistances. The cross-section images of Fig. 14 show a good joint shape, with adequate IMC formation as indicated in the SEM image where the solder was etched to expose intermetallic compounds. 480

7 very little residual solder. The interconnection stack-up thus have to be carefully designed to balance plastic strains between the Cu pillar and the solder cap, while the assembly process needs to be finely adjusted to control the IMC-toresidual solder ratio. Fig. 14. Optical and SEM images of the cross-section of an assembly on a 100µm-thick glass, with Cu pillar and solder cap interconnections formed by thermocompression bonding with a stage and tool peak temperatures of 90 C and 390 C, respectively. These experiments thus confirm the modeling results, for both glass and organic substrates, highlighting the need for higher stage temperatures for bonding on high-density glass substrates. The heating profiles also affect residual stresses created in the package structure during the assembly cooldown phase as well as warpage, potentially leading to early failures by joint cracking [6]. Thermomechanical modeling was thus conducted to understand this interaction. Thermomechanical modeling: stress management Pitch scaling is accompanied by a reduction of the interconnection form factor, particularly of the solder volume to prevent bridging. The 2D axisymmetric FEM model of Fig. 15 was built to evaluate the residual stresses generated in a die-to-glass substrate package during the assembly cool-down phase. The interconnection stack-up comprises of a Cu pillar, 5µm in height and 10 µm in diameter, a 2 µm-thick Ni barrier layer, and a solder cap of varying thickness. A Ni barrier layer was also applied on the substrate pad to represent a standard ENIG or ENEPIG surface finish. A micron-thick layer of Ni 3 Sn 4 intermetallic was also considered, as would be expected by the end of the bonding process. The assembly was considered stress-free at the liquidus temperature of the SnAg solder, and cooled down to room temperature at a rate of 6 C/s. The von-mises stresses at the interface between residual solder and intermetallics are shown in Fig. 15 as a function of the solder height. A dramatic increase in stress from 20 to 170MPa can be observed as the residual solder height is reduced from 14 to 3µm, with an inflection point at about 6µm. This can be explained by the major difference in mechanical properties of solders and intermetallic compounds. Furthermore, considering the viscoplastic nature of solder alloys, these stresses vary with the strain rate, and consequently the cooling rate. Cooling in production conditions at 200 C/s yields an increase of the von-mises stress in the solder by 20%, and in the IMC layers by 7%. Such high stress levels can drastically shorten the fatigue life of solders, and even lead to post-assembly failures with joints cracking at the interface between species. These are further aggravated in subsequent process steps such as board-level reflows, resulting in a joint mostly dominated by IMCs with Fig D axisymmetric model of a Cu pillar with solder cap interconnection, and evolution of von-mises stress at the IMC-to-residual solder interface after assembly cool-down at 6 C/s with variations of solder volume. The latter point is illustrated in Fig. 16 with cross-section images of Cu pillar assemblies as-bonded and after moisture reflow test (MRT). While the bonding heating profile was kept same for both assemblies, the organic substrate s thermal conductivity varied with core thickness and metal loading. A higher temperature was reached in the bumps of the bottom substrate, and more time spent in liquid phase, resulting in formation of thicker IMCs. While both assemblies were perfectly yielded as-bonded, the daisy chains of the bottom one systematically failed after MRT. The joints were found composed of intermetallics only, with apparent Kirkendall voids and phase cracks as shown in Fig. 16. Cu pillar interconnects thus exhibit a higher sensitivity to process conditions with pitch scaling, which in turns narrows process windows and requires a higher degree of customization. Fig. 16. Cross-section of Cu pillar flip-chip assemblies on 2 organic substrates with different thermal conductivities, as bonded and after moisture reflow screening test. Thermocompression bonding was performed with a stage temperature of 70 C and a tool peak temperature of 390 C maintained for 5s. This mechanism is further exacerbated by the emergence of Ni-free surface finishes, where the barrier layer is eliminated for compatibility with high-density wiring on the substrate. OSP or the novel EPAG finish are promising 481

8 solutions for protection of Cu traces with sub-10µm spacings. Due to its limited thickness, the EPAG finish acts as an OSP and is entirely dissolved in the solder during assembly, resulting in direct bonding on bare Cu as depicted in Fig. 17. The intermetallic compounds formed thus differ from ENIG or ENEPIG systems, with formation of Cu 6 Sn 5 instead of Ni 3 Sn 4. The former grows 10 times faster than the latter in liquid phase, judging by their respective interdiffusion coefficients of µm 2 /s and µm 2 /s at 150 C. Thicker IMCs increase the risk of joint failures, thus imposing stringent requirements on bonding conditions for stress management. base composition to extract the material s limitations. Ongoing work consists of varying fluxing agents and fillers, leading to joint optimization of material properties and bonding processes. Fig. 18. Typical composition of a pre-applied material, highlighting material, tool and process interactions. Fig. 17. Intermetallics formation on different surface finishes: OSP, thick and thin ENEPIG, and EPAG [20]. No-flow underfill material development Stress management has become a critical bottleneck of fine-pitch flip-chip assembly that can be partly addressed with the use of pre-applied materials, such as no-flow underfills, NCFs or NCPs. The latter protect the thin low-k dies and fragile interconnections during bonding, enable a fine control of the joint shape and solder spread to alleviate risks of bridging, and results in a significant reduction of the overall warpage [2]. They also indirectly increase throughput by combining the assembly and underfilling steps. The development of a new class of pre-applied materials with thermal stability in the C range is essential in enabling high-speed thermocompression bonding on highdensity substrates with sub-100µm thicknesses. The material properties, including fluxing action, reaction speed, curing kinetics and final physical properties, have to be co-developed with the assembly process, taking into account the package and interconnection structures, heating profiles and the tool s capability. The ultimate goal is to improve the assembly throughput by shortening the cycle times to below 5s, while not compromising on reliability. Co-development efforts are ongoing by GT PRC and its industry partners to provide manufacturable solutions to this complex problem. The typical composition of pre-applied materials is summarized in the diagram of Fig. 18, with 3 major components: fillers, fluxing agent and curing system. The effect of each constituent on the overall process is detailed. A generic epoxy-based system containing a fluxing agent but no fillers was used as a base, and its composition was progressively modified to attain the expected performance. The first step in this approach was the qualification of the Conclusions This paper introduces a novel co-development strategy of materials, tools and high-speed processes to extend the applicability of fine-pitch thermocompression bonding to high-density ultra-thin glass substrates. As the thermal properties of glass substrates can be finely tuned by implementing through-package vias acting as thermal structures, bonding on glass requires highly customized processes taking chip-package interactions into account. Thermal and thermomechanical modeling were carried out to provide guidelines on heating profiles for stress management in interconnections and at die level. Bonding with higher stage temperatures was found necessary for assembly on substrates with sub-100µm thickness, which gives rise to new challenges in the thermal stability of pre-applied underfill materials. Emergence of surface finish chemistries deprived of barrier layers, intended to accommodate high-density wiring on substrates, also aggravated the stress level in interconnections. Stress and warpage management were highlighted as critical bottlenecks of advanced integration. It is addressed in this paper by the proposed development of a new class of no-flow underfills to meet the speed, cost and yield requirements for mass production. GT PRC and its industry partners are currently undertaking this task with considerations of advances in substrates and interconnections technologies and tools innovations to provide manufacturable solutions for high-throughput assembly of next-generation smart mobile and high-performance systems. Acknowledgments This study was supported by the Interconnections and Assembly industry program at Georgia Tech PRC. The authors would like to thank their industry partners to make this co-development effort possible, in particular Atotech GmbH for their support of surface finish, Kulicke & Soffa for providing a perspective on production thermocompression tools and Namics Corporation for the development of new pre-applied materials for high-speed assembly. 482

9 References 1. P. Totta, "History of Flip Chip and Area Array Technology," in Area Array Interconnection Handbook, K. Puttlitz and P. Totta, Eds., ed: Springer US, 2001, pp D. S. Patterson. (2012) Transforming Mobile Electronics with Copper Pillar Interconnect. Advancing Microelectronics. 3. F. Tung, "Pillar connections for semiconductor chips and method of manufacture," ed: Google Patents, M. Gerber, C. Beddingfield, S. O'Connor, Y. Min, L. MinJae, K. DaeByoung, et al., "Next generation fine pitch Cu Pillar technology - Enabling next generation silicon nodes," in Electronic Components and Technology Conference (ECTC), 2011 IEEE 61st, 2011, pp C. G. Woychik, L. Wang, S. Arkalgud, G. Gao, A. Cao, H. Shen, et al., "Scalable approaches for 2.5D IC assembly," Chip Scale Review, L. Wang, C. G. Woychik, G. Gao, S. McGrath, H. Shen, B.-S. Lee, et al., "Assembly and scaling challenges for 2.5D IC," in IMAPS 47th International Symposium on Microelectronics, C. Yongwon, S. Jiwon, and P. Kyung-Wook, "A study on the 3D-TSV interconnection using wafer-level non-conductive adhesives (NCAs)," in Electronic Components and Technology Conference (ECTC), 2011 IEEE 61st, 2011, pp L. MinJae, Y. Min, C. Jihee, L. Seungki, K. Jaedong, L. ChoonHeung, et al., "Study of interconnection process for fine pitch flip chip," in Electronic Components and Technology Conference, ECTC th, 2009, pp K. Motomura, H. Maruo, T. Wanyu, H. Eifuku, S. Sakemi, and T. Sakai, "Productivity improvement of copper pillar flip-chip package by pre-applied materials and press machine," in CPMT Symposium Japan, nd IEEE, 2012, pp C. Ser Choong, A. Jie Li, E. W. L. Ching, D. I. Cereno, L. Hong Yu, S. R. Vempati, et al., "Chip to wafer bonding for threedimensional integration of copper low K Chip by stacking process," in Electronics Packaging Technology Conference (EPTC 2013), 2013 IEEE 15th, 2013, pp V. S. Rao, Z. Xiaowu, W. Ho Soon, R. Rajoo, C. S. Premachandran, V. Kripesh, et al., "Design and Development of Fine Pitch Copper/Low-K Wafer Level Package," Advanced Packaging, IEEE Transactions on, vol. 33, pp , K. J. Rebibis, C. Gerets, G. Capuz, R. Daily, T. Wang, A. LaManna, et al., "Wafer applied and no flow underfill screening for 3D stacks," in Electronics Packaging Technology Conference (EPTC), 2012 IEEE 14th, 2012, pp Z. Zhuqing and C. P. Wong, "Modeling of the curing kinetics of no-flow underfill in flip-chip applications," Components and Packaging Technologies, IEEE Transactions on, vol. 27, pp , A. Prabhakumar, D. Buckley, P. Gillespie, S. Mandke, R. Mills, S. Rubinsztajn, et al., "Development of no-flow underfill materials and processes for Pb-free flip chip applications," in Electronic Packaging Technology Conference, EPTC Proceedings of 7th, 2005, p. 6 pp. 15. Henkel. (2014). New Henkel NCP Material Enables Next- Generation Flip-Chip Devices. 16. S. Kawamoto, O. Suzuki, and A. Yukinari, "The effect of filler on the solder connection for no-flow underfill," in Electronic Components and Technology Conference, Proceedings. 56th, 2006, p. 6 pp. 17. A. Kolbeck, T. Hauck, J. Jendrny, O. Hahn, and S. Lang, "Noflow underfill process for flip-chip assembly," in 14th European Microelectronics and Packaging Conference & Exhibition, Friedrichshafen, Germany, B. Sawyer, L. Hao, Y. Suzuki, Y. Takagi, M. Kobayashi, V. Smet, et al., "Modeling, design, fabrication and characterization of first large 2.5D glass interposer as a superior alternative to silicon and organic interposers at 50 micron bump pitch," in Electronic Components and Technology Conference (ECTC), 2014 IEEE 64th, 2014, pp L. Hao, Y. Takagi, Y. Suzuki, B. Sawyer, R. Taylor, V. Sundaram, et al., "Demonstration of low cost 3-5um RDL line lithography on panel-based glass interposers," in Electronic Components and Technology Conference (ECTC), 2014 IEEE 64th, 2014, pp M. Oezkoek, G. Ramos, A. Kilian, J. Wegricht, and A. GmbH, "Direct EP/EPAG Ultrathin Surface Finish for Soldering and Wire Bonding," in IMAPS International Conference and Exhibition on Device Packaging C. Sangbeom, Y. Joshi, V. Sundaram, Y. Sato, and R. Tummala, "Comparison of thermal performance between glass and silicon interposers," in Electronic Components and Technology Conference (ECTC), 2013 IEEE 63rd, 2013, pp C. Sangbeom, Y. Sato, V. Sundaram, Y. Joshi, and R. Tummala, "Experimental demonstration of the effect of copper TPVs (Through package vias) on thermal performance of glass interposers," in Electronic Components and Technology Conference (ECTC), 2014 IEEE 64th, 2014, pp

Analysis Of System-Level Reliability Of Single-Chip Glass BGA Packages With Advanced Solders And Polymer Collars

Analysis Of System-Level Reliability Of Single-Chip Glass BGA Packages With Advanced Solders And Polymer Collars Analysis Of System-Level Reliability Of Single-Chip Glass BGA Packages With Advanced Solders And Polymer Collars Vidya Jayaram, Scott McCann, Bhupender Singh, Raj Pulugurtha, Vanessa Smet, Rao Tummala

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration 2017 IEEE 67th Electronic Components and Technology Conference First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Bonding Technologies for 3D-Packaging

Bonding Technologies for 3D-Packaging Dresden University of Technology / Karsten Meier, Klaus-Juergen Wolter NanoZEIT seminar @ SEMICON Europa 2011 Dresden System integration by SoC or SiP solutions offer advantages regarding design efforts,

More information

Demonstration of enhanced system-level reliability of ultra-thin BGA packages with circumferential polymer collars and doped solder alloys

Demonstration of enhanced system-level reliability of ultra-thin BGA packages with circumferential polymer collars and doped solder alloys 2016 IEEE 66th Electronic Components and Technology Conference Demonstration of enhanced system-level reliability of ultra-thin BGA packages with circumferential polymer collars and doped solder alloys

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

FINE-PITCH CU-SNAG DIE-TO-DIE AND DIE-TO-INTERPOSER INTERCONNECTIONS USING ADVANCED SLID BONDING

FINE-PITCH CU-SNAG DIE-TO-DIE AND DIE-TO-INTERPOSER INTERCONNECTIONS USING ADVANCED SLID BONDING FINE-PITCH CU-SNAG DIE-TO-DIE AND DIE-TO-INTERPOSER INTERCONNECTIONS USING ADVANCED SLID BONDING A Thesis Presented to The Academic Faculty by CHINMAY HONRAO In Partial Fulfillment of the Requirements

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS As originally published in the SMTA Proceedings. EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS Neil Poole, Ph.D., Elvira Vasquez, and Brian J. Toleno, Ph.D. Henkel Electronic

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Modeling, Design, and Demonstration of Low-temperature Cu Interconnections to Ultra-thin Glass Interposers at 20 µm Pitch

Modeling, Design, and Demonstration of Low-temperature Cu Interconnections to Ultra-thin Glass Interposers at 20 µm Pitch Modeling, Design, and Demonstration of Low-temperature Cu Interconnections to Ultra-thin Glass Interposers at 20 µm Pitch Tao Wang, Vanessa Smet, Makoto Kobayashi+, Venky Sundaram, P Markondeya Raj*, and

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Hugh Roberts Atotech USA Inc., Rock Hill, SC, USA Sven Lamprecht, Gustavo Ramos and Christian Sebald Atotech Deutschland

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections

Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections Accelerated SLID Bonding Using Thin Multi-layer Copper-Solder Stack for Fine-pitch Interconnections Chinmay Honrao, Ting-Chia Huang, Makoto Kobayashi#, Vanessa Smet, P. Markondeya Raj, and Rao Tummala

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Modeling, Design and Demonstration of Low-temperature, Low-pressure and High-throughput Thermocompression Bonding of Copper Interconnections without Solders Ninad Shahane*, Scott McCann, Gustavo Ramos

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

PoP/CSP Warpage Evaluation and Viscoelastic Modeling

PoP/CSP Warpage Evaluation and Viscoelastic Modeling PoP/CSP Warpage Evaluation and Viscoelastic Modeling Wei Lin, Min Woo Lee Amkor Technology 19 S Price Rd, Chandler, AZ 85286 wlin@amkor.com Abstract The purpose of this paper was to evaluate the critical

More information

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic Super High Density Two Metal Layer Ultra-Thin Organic Substrates for Next Generation System-On-Package (SOP), SIP and Ultra-Fine Pitch Flip-Chip Packages Venky Sundaram, Hunter Chan, Fuhan Liu, and Rao

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan Advances in panel scalable planarization and high throughput differential seed layer etching processes for multilayer RDL at 20 micron I/O pitch for 2.5D glass interposers Hao Lu, Fuhan Liu, Venky Sundaram,

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY

EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY As originally published in the SMTA Proceedings EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY Fei Xie, Ph.D. *, Daniel F. Baldwin, Ph.D. *, Han Wu *, Swapon Bhattacharya,

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Raghunandan Chaware, Ganesh Hariharan, Jeff Lin, Inderjit Singh, Glenn O Rourke, Kenny Ng, S. Y. Pai Xilinx Inc.

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Effect of Underfill Entrapment on the Reliability of Flip-Chip Solder Joint

Effect of Underfill Entrapment on the Reliability of Flip-Chip Solder Joint Y. C. Chan e-mail: eeycchan@cityu.edu.hk M. O. Alam K. C. Hung H. Lu C. Bailey EPA Centre, Department of Electronic Engineering, City University of Hong Kong, Hong Kong, China; School of Computing and

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

S/C Packaging Assembly Challenges Using Organic Substrate Technology

S/C Packaging Assembly Challenges Using Organic Substrate Technology S/C Packaging Assembly Challenges Using Organic Substrate Technology Presented by Bernd Appelt ASE Group Nov. 17, 2009 Overview The Packaging Challenge Chip Substrate Interactions Stiffeners for FC-BGA

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste Amy Palesko Lujan SavanSys Solutions LLC 10409 Peonia Court Austin,

More information

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar,,, and SnPb Bump Structures Ahmer Syed, Karthikeyan Dhandapani, Lou Nicholls, Robert Moody, CJ Berry, and Robert Darveaux Amkor Technology

More information

System Level Effects on Solder Joint Reliability

System Level Effects on Solder Joint Reliability System Level Effects on Solder Joint Reliability Maxim Serebreni 2004 2010 Outline Thermo-mechanical Fatigue of solder interconnects Shear and tensile effects on Solder Fatigue Effect of Glass Style on

More information

Two Chips Vertical Direction Embedded Miniaturized Package

Two Chips Vertical Direction Embedded Miniaturized Package Two Chips Vertical Direction Embedded Miniaturized Package Shunsuke Sato, 1 Koji Munakata, 1 Masakazu Sato, 1 Atsushi Itabashi, 1 and Masatoshi Inaba 1 Continuous efforts have been made to achieve seemingly

More information

Effect of local grain distribution and Enhancement on edgebond applied wafer-level chip-scale package (WLCSP) thermal cycling performance

Effect of local grain distribution and Enhancement on edgebond applied wafer-level chip-scale package (WLCSP) thermal cycling performance Effect of local grain distribution and Enhancement on edgebond applied wafer-level chip-scale package (WLCSP) thermal cycling performance 1 Tae-Kyu Lee, 2 Weidong Xie, 2 Steven Perng, 3 Edward Ibe, and

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

A New 2.5D TSV Package Assembly Approach

A New 2.5D TSV Package Assembly Approach A New 2.5D TSV Package Assembly Approach Yuan Lu 1,2, Wen Yin 1,2, Bo Zhang 1,2, Daquan Yu 1,2, Lixi Wan 2, Dongkai Shangguan 1,2 Guofeng Xia 3, Fei Qin 3, Mao Ru 4, Fei Xiao 4 1 National Center for Advanced

More information

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction 3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction Gilbert Lecarpentier*, Jean-Stéphane Mottet* SET S.A.S. (Smart Equipment Technology), 131 Impasse Barteudet, 74490

More information

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China Henkel Adhesive Solutions for SiP Packaging October 17-19, 2018 Shanghai, China Agenda 1 2 3 4 Overview: Henkel Adhesive Electronics Semiconductor Market Trends & SiP Drivers Henkel Adhesive Solutions

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

Building HDI Structures using Thin Films and Low Temperature Sintering Paste

Building HDI Structures using Thin Films and Low Temperature Sintering Paste Building HDI Structures using Thin Films and Low Temperature Sintering Paste Catherine Shearer, James Haley and Chris Hunrath Ormet Circuits Inc. - Integral Technology California, USA chunrath@integral-hdi.com

More information

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization W. J. Choi, a) E. C. C. Yeh, b) and

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

TSV CHIP STACKING MEETS PRODUCTIVITY

TSV CHIP STACKING MEETS PRODUCTIVITY TSV CHIP STACKING MEETS PRODUCTIVITY EUROPEAN 3D TSV SUMMIT 22-23.1.2013 GRENOBLE HANNES KOSTNER DIRECTOR R&D BESI AUSTRIA OVERVIEW Flip Chip Packaging Evolution The Simple World of C4 New Flip Chip Demands

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

Flip Chip Joining on FR-4 Substrate Using ACFs

Flip Chip Joining on FR-4 Substrate Using ACFs Flip Chip Joining on FR-4 Substrate Using ACFs Anne Seppälä, Seppo Pienimaa*, Eero Ristolainen Tampere University of Technology Electronics Laboratory P.O. Box 692 FIN-33101 Tampere Fax: +358 3 365 2620

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Amkor Technology, Inc. White Paper Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Abstract Expanding its ChipArray Ball Grid Array (CABGA) package form factor miniaturization

More information

RELIABILITY OF DOPED LEAD-FREE SOLDER JOINTS UNDER ISOTHERMAL AGING AND THERMAL CYCLING

RELIABILITY OF DOPED LEAD-FREE SOLDER JOINTS UNDER ISOTHERMAL AGING AND THERMAL CYCLING As originally published in the SMTA Proceedings RELIABILITY OF DOPED LEAD-FREE SOLDER JOINTS UNDER ISOTHERMAL AGING AND THERMAL CYCLING Cong Zhao, Thomas Sanders, Chaobo Shen, Zhou Hai, John L. Evans,

More information

ORGANIC materials are most commonly used for today s

ORGANIC materials are most commonly used for today s 796 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 5, MAY 2014 Finite Element Analysis and Experiment Validation of Highly Reliable Silicon and Glass Interposers-to-Printed

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 15 Lead in solders to complete a viable electrical connection between semiconductor

More information

726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017

726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017 726 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 5, MAY 2017 Board-Level Thermal Cycling and Drop-Test Reliability of Large, Ultrathin Glass BGA Packages for Smart

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

MODELING, DESIGN, FABRICATION AND RELIABILITY CHARACTERIZATION OF ULTRA-THIN, GLASS BGA PACKAGE-TO- BOARD INTERCONNECTIONS

MODELING, DESIGN, FABRICATION AND RELIABILITY CHARACTERIZATION OF ULTRA-THIN, GLASS BGA PACKAGE-TO- BOARD INTERCONNECTIONS MODELING, DESIGN, FABRICATION AND RELIABILITY CHARACTERIZATION OF ULTRA-THIN, GLASS BGA PACKAGE-TO- BOARD INTERCONNECTIONS A Thesis Presented to The Academic Faculty By Bhupender Singh In Partial Fulfillment

More information

Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies

Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies Kuldip Johal and Hugh Roberts Atotech USA Inc., Rock Hill, SC Sven Lamprecht,

More information

A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION

A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION YINCAE Advanced Materials, LLC WHITE PAPER November 2013 2014 YINCAE Advanced Materials, LLC - All Rights Reserved. YINCAE and the YINCAE

More information

Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates

Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates Reliability And Processability Of Sn/Ag/Cu Solder Bumped Flip Chip Components On Organic High Density Substrates Minja Penttilä, Kauppi Kujala Nokia Mobile Phones, Research and Technology Access Itamerenkatu

More information

Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications

Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications 2016 IEEE 66th Electronic Components and Technology Conference Demonstration of Next-generation Au-Pd Surface Finish with Solder-capped Cu Pillars for Ultra-fine Pitch Applications Ting-Chia Huang, Vanessa

More information

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation.

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation. B. Salam +, N. N. Ekere, D. Rajkumar Electronics Manufacturing Engineering

More information

Gold to gold thermosonic bonding Characterization of bonding parameters

Gold to gold thermosonic bonding Characterization of bonding parameters Gold to gold thermosonic bonding Characterization of bonding parameters Thi Thuy Luu *1, Hoang-Vu Nguyen 1, Andreas Larsson 2, Nils Hoivik 1 and Knut E.Aasmundtveit 1 1: Institute of Micro and Nanosystems

More information

Characterization of 0.6mils Ag Alloy Wire in BGA Package

Characterization of 0.6mils Ag Alloy Wire in BGA Package Characterization of 0.6mils Ag Alloy Wire in BGA Package Toh Lee Chew, Alan Lumapar Visarra, *Fabien Quercia, *Eric Perriaud STMicroelectronics Muar, Tanjung Agas Industrial, P.O.Box 28, 84007, Muar, Johor

More information

Optoelectronic Chip Assembly Process of Optical MCM

Optoelectronic Chip Assembly Process of Optical MCM 2017 IEEE 67th Electronic Components and Technology Conference Optoelectronic Chip Assembly Process of Optical MCM Masao Tokunari, Koji Masuda, Hsiang-Han Hsu, Takashi Hisada, Shigeru Nakagawa, Science

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School of Electrical and Computer Engineering Georgia Institute

More information

Abstract. Key words. I. Introduction

Abstract. Key words. I. Introduction Increased High-Temperature Reliability and Package Hardening of Commercial Integrated Circuits (Through Die Extraction, Electroless Nickel/Gold Pad Reconditioning, and Ceramic Re-Assembly) Erick M. Spory

More information

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY Eric Schulte 1, Matthew Lueck 2, Alan Huffman 2, Chris Gregory 2, Keith Cooper 1, Dorota

More information

The Development of a Novel Stacked Package: Package in Package

The Development of a Novel Stacked Package: Package in Package The Development of a Novel Stacked Package: Package in Package Abstract Stacked die Chip Scale Packages (CSPs) or Fine-pitch BGAs (FBGAs) have been readily adopted and integrated in many handheld products,

More information

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation 2.5D and 3D Semiconductor Package Technology: Evolution and Innovation Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract The electronics industry is experiencing a renaissance

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 7 a a) Lead in high melting temperature type solders (i.e. lead-based alloys containing

More information

Lead Free Solder for Flip Chip

Lead Free Solder for Flip Chip Lead Free Solder for Flip Chip Zhenwei Hou & R. Wayne Johnson Laboratory for Electronics Assembly & Packaging Auburn University 162 Broun Hall, ECE Dept. Auburn, AL 36489 USA 334-844-1880 johnson@eng.auburn.edu

More information

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE AUTHORS: B. VANDEVELDE, L. DEGRENDELE, M. CAUWE, B. ALLAERT, R. LAUWAERT, G. WILLEMS

More information

TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION. S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin

TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION. S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin Advanced Materials Research Centre (AMREC), SIRIM Berhad, Lot 34, Jalan Hi-Tech 2/3, Kulim

More information

THROUGH-SILICON interposer (TSI) is a

THROUGH-SILICON interposer (TSI) is a Study on Low Warpage and High Reliability for Large Package Using TSV-Free Interposer Technology Through SMART Codesign Modeling Fa Xing Che, Masaya Kawano, Mian Zhi Ding, Yong Han, and Surya Bhattacharya

More information