Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper

Size: px
Start display at page:

Download "Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper"

Transcription

1 Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper Item Type text; Electronic Dissertation Authors Lowalekar, Viral Pradeep Publisher The University of Arizona. Rights Copyright is held by the author. Digital access to this material is made possible by the University Libraries, University of Arizona. Further transmission, reproduction or presentation (such as public display or performance) of protected items is prohibited except with permission of the author. Download date 11/04/ :01:08 Link to Item

2 OXALIC ACID BASED CHEMICAL SYSTEMS FOR ELECTROCHEMICAL MECHANICAL PLANARIZATION OF COPPER by Viral Pradeep Lowalekar A Dissertation Submitted to the Faculty of the DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING In Partial Fulfillment of the Requirements For the Degree of DOCTOR OF PHILOSOPHY In the Graduate College THE UNIVERSITY OF ARIZONA

3 THE UNIVERSITY OF ARIZONA GRADUATE COLLEGE As members of the Dissertation Committee, we certify that we have read the dissertation prepared by Viral Pradeep Lowalekar entitled Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper and recommend that it be accepted as fulfilling the dissertation requirement for the Degree of Doctor of Philosophy Date: 06/30/06 Srini Raghavan Date: 06/30/06 William Davenport Date: 06/30/06 David Poirier Final approval and acceptance of this dissertation is contingent upon the candidate s submission of the final copies of the dissertation to the Graduate College. I hereby certify that I have read this dissertation prepared under my direction and recommend that it be accepted as fulfilling the dissertation requirement. Date: 06/30/06 Dissertation Director: Srini Raghavan

4 3 STATEMENT BY AUTHOR This dissertation has been submitted in partial fulfillment of requirements for an advanced degree at the University of Arizona and is deposited in the University Library to be made available to borrowers under rules of the Library. Brief quotations from this dissertation are allowable without special permission, provided that accurate acknowledgment of source is made. Requests for permission for extended quotation from or reproduction of this manuscript in whole or in part may be granted by the head of the major department or the Dean of the Graduate College when in his or her judgment the proposed use of the material is in the interests of scholarship. In all other instances, however, permission must be obtained from the author. SIGNED: Viral P. Lowalekar

5 4 ACKNOWLEDGEMENTS First and Foremost, I would like to sincerely thank my advisor, Prof. Srini Raghavan for his guidance and support in the completion of this dissertation. I want to send my deepest appreciation to Prof. Raghavan for his advice and encouragement. Prof. Raghavan has been very kind, patient and has always given me opportunity to explore areas outside my research. Over the years, I have learned a lot from him for which I would always remain indebted to him. I would also like to thank my committee members: Prof. William Davenport and Prof. David Poirier for being on my committee and taking time to read my dissertation. I would also like to thank Dr. Jeffrey Sczechowski for proof reading my dissertation. I want to acknowledge Dr. Kenneth Nebesny and Dr. Paul Lee with chemistry department for helping me with the XPS characterization. My thanks and appreciations are also due to Dr. Wayne Huang and Dr. Subramanian Tamilmani. As a friend and former fellow graduate student, they taught me a lot during the early part of my graduate life and helped me throughout. I would like to appreciate the help of Mr. Ashok Muthukumaran in performing certain experiments. I would like to thank all my current and past research colleagues and fellow graduate students who have made my graduate experience a memorable one. I must also acknowledge the financial support provided NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing. I would like to thank all my friends in and around Tucson to making my stay here, an enjoyable one. Finally, and most importantly, I want to convey my love and gratitude to my parents, Mr. Pradeep Lowalekar and Mrs. Prerna Lowalekar, my brother, Mr. Vishal Lowalekar, and my grandparents, for their unconditional love, affection, support, and prayers, without which this would not have been possible. Last but not the least, I would like to thank God for everything.

6 5 TABLE OF CONTENTS TABLE OF CONTENTS... 5 LIST OF ILLUSTRATIONS... 8 LIST OF TABLES ABSTRACT CHAPTER 1: INTRODUCTION Introduction Research Objectives CHAPTER 2: BACKGROUND Chemical Mechanical Planarization CMP Tools CMP Consumables Pads CMP Consumables Slurries CMP Mechanisms Oxide CMP Tungsten CMP Copper CMP Nitric Acid Based Chemistries Ammonia Based Chemistries Hydrogen Peroxide Based Chemistries Hydroxylamine Based Chemistries Iodate Based Chemistries Integration Issues in Copper CMP for 65 nm Technology Node and Beyond Need for Low-k Materials Integration Challenges for Copper/Low-k Interconnects Electrochemical Mechanical Planarization (ECMP) Introduction The ECMP Process Topographic Control Line Resistance Environmental Advantages Pads/Electrodes for ECMP Chemistries for ECMP Importance of Static Etching in ECMP Inhibitors for Copper Oxalic Acid Based Chemistries... 97

7 6 TABLE OF CONTENTS - Continued CHAPTER 3: EXPERIMENTAL SET-UP AND MATERIALS Theoretical Work Potential ph Diagrams Experimental Methods Laboratory Scale Electrochemical Mechanical Abrasion Cell (EC-AC) ECMP Experiment in EC-AC Tool Static (no abrasion) Experiment in EC-AC Tool Electrochemical Measurements Potentiodynamic Polarization Anodic Polarization Potentiostatic Experiments Galvanostatic Experiments Cyclic Voltammetry Quartz Crystal Microbalance (QCM) Chemical and Physical Analysis Atomic Absorption Spectrophotometry (AAS) Surface Profile Measurements Four Point Probe X-ray Photoelectron Spectroscopy (XPS) ph Measurements CHAPTER 4: RESULTS AND DISCUSSION Potential-pH Diagrams Copper Oxalic Acid Water System Copper Oxalic Acid BTA Water System Copper Oxalic Acid TSA Water System Anodic Dissolution of Copper in Oxalic Acid Solutions Etch Rate of Copper in Oxalic Acid Solution at Different Applied Potentials Identification of Inhibitors ECMP of Copper in the Presence of Abrasive Particles Removal Rates of Copper during Abrasion in Oxalic Acid Solution Need for Inhibitors Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of BTA as Inhibitor Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of TSA as Inhibitor Removal Rates of Copper in Oxalic Acid Solution Containing TSA Effect of Particle Concentration Removal Rates of Copper in Oxalic Acid Solution Containing TSA Effect of solution ph

8 7 TABLE OF CONTENTS - Continued Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Current Density Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Particles Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Time before Polishing ECMP of Copper in the Absence of Abrasive Particles Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of Concentration Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Current Density Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of TSA Concentration Galvanostatic Study of Copper Removal in Oxalic Acid Solution Comparison of BTA and TSA as Inhibitor Passivation Kinetics of Copper in Oxalic Acid Solution Containing TSA Dissolution of Copper in Oxalic Acid Copper Dissolution in Oxalic Acid Containing TSA Effect of TSA Concentration on Copper Dissolution in Oxalic Acid Comparison of TSA and BTA as Inhibitors for Copper in Oxalic Acid Chemistry Inhibition Efficiency Cyclic Voltammetry (CV) Oxidation of Oxalic Acid Oxidation of Thiosalicylic Acid (TSA) Cyclic Voltammetry (CV) and Quartz Crystal Microbalance (QCM) Studies in Cu/TSA System XPS Characterization of Passive Film Mechanism of Passivation CHAPTER 5: CONCLUSIONS AND FUTURE WORK Conclusions Future Work REFERENCES

9 8 LIST OF ILLUSTRATIONS Figure 1.1: Trends in logic and memory devices [1.4] Figure 1.2: Figure 2.1: Cross sectional view of MOSFET device with three levels of metal interconnects: a) Surface topography without any planarization, b) planarized surface without topography buildup [1.7] Schematic of copper damascene process.(1) Electrodeposition of copper to fill vias and trenches. (2) Bulk copper removal. (3) Barrier metal removal and overpolish Figure 2.2: Measurement of planarity [2.5] Figure 2.3: Schematic of CMP tools: (a) rotary, and (b) orbital [ ] Figure 2.4: Mechanism of tungsten CMP proposed by Kaufman et al. [2.31] Figure 2.5: Pourbaix diagram for W-H 2 O system Figure 2.6: Pourbaix diagram for Cu-H 2 O system. [Activities of dissolved copper species = 0.1 M, 10-3 M and 10-6 M] Figure 2.7: Polish rate and etch rate of copper in nitric acid slurries [2.39] Figure 2.8: Effect of NH 4 OH concentration on copper removal rate [2.41] Figure 2.9: Pourbaix diagram for Cu-NH 3 -H 2 O system Figure 2.10: Pourbaix diagram for Cu-hydroxylamine-H 2 O system overlaid on hydroxylamine-h 2 O system Figure 2.11: Removal rate of copper in 0.5 M hydroxylamine solution as a function of ph Figure 2.12: Removal rates of copper disk with slurry containing 3% MoO 2 and varying concentration of KIO 3 at ph 4 [2.58] Figure 2.13: Schematic of interconnect Figure 2.14: Variation of time delay as a function of device generation [2.60]... 62

10 9 LIST OF ILLUSTRATIONS - Continued Figure 2.15: (a) Cross-section SEM micrograph showing delamination of low-k film undergone CMP [2.66] and (b) Variation of time to CMP-induced delamination as function of modulus of low-k film [2.65, 2.66] Figure 2.16: Relation between copper removal rate and applied charge [2.73] Figure 2.17: Schematic representation of an ECMP process Figure 2.18: Effect of downforce on low-k (k<2.5) wafers, shows peeling at high downforce and no peeling under ECMP Figure 2.19: Schematic of pad/electrode structure used by Wada et al. [2.78] Figure 2.20: Carbon polishing pad used by Kondo et al. [2.79]. [Inset: Electro-cell structure fabrication in carbon pad] Figure 2.21: Mechanism for electropolishing of copper in DI water as proposed by Wada Figure 2.22: Change in topography of copper by planarization in presence of inhibitor during ECMP process Figure 2.23: Change in topography of copper while polishing in aggressive chemistry with high static etch rate Figure 2.24: Chemical structure of various copper corrosion inhibitors Figure 2.25: Speciation diagram for oxalic acid - water system Figure 3.1: Typical setup of the laboratory scale electrochemical abrasion cell (EC-AC tool) Figure 3.2: Cross-sectional view of the EC-AC tool Figure 3.3: Schematic showing the offset between the pad and the copper sample Figure 3.4: Figure 3.5: Tafel plot for simple system shown Tafel relationships and Tafel slopes [3.17] Tafel plot of mixed electrode system of hydrogen and zinc electrodes [3.17]

11 10 LIST OF ILLUSTRATIONS - Continued Figure 3.6: Schematic of potential sweep during cyclic voltammtery Figure 3.7: Cyclic voltammogram for a reversible single electron transfer reaction. 120 Figure 3.8: Influence of potential scan rate on voltammogram of a reversible reaction Figure 3.9: Cyclic voltammogram for an irreversible reaction Figure 3.10: Figure 3.11: Schematic of the front and rear side of the gold coated quartz crystals [3.19] Schematic of the QCM interfaced with a potentiostat to study the mass change of the sample with simultaneous electrochemical measurements [3.19] Figure 3.12: Schematic diagram of a Alpha Step 200 surface profiler Figure 3.13: Preparation of abraded sample for step height measurement using profilometery Figure 3.14: Schematic representation of a four point probe technique Figure 4.1: Figure 4.2: Figure 4.3: Figure 4.4: Potential-pH diagram for copper-oxalic acid-water system for dissolved copper activity of 10-6 M. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph Potential-pH diagram for copper-oxalic acid-bta-water system: (a) BTA concentration of M, and (b) 0.01 M BTA. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph Potential-pH diagram of copper-tsa-water system overlapped on copper-oxalic acid-water system. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph Static etch rate of copper in oxalic acid solution as a function of concentration and overpotential

12 11 LIST OF ILLUSTRATIONS - Continued Figure 4.5: Figure 4.6: Figure 4.7: Figure 4.8: Figure 4.9: Figure 4.10: Figure 4.11: Figure 4.12: Figure 4.13: Figure 4.14: Figure 4.15: Figure 4.16: Estimated static rate of copper in oxalic acid solution as a function of concentration and overpotential (calculated from current density values) Tafel polarization of copper in 0.1 M oxalic acid solution (ph 4) in presence and absence of BTA and TSA Effect of oxalic acid concentration on removal rate of copper at potential of 500 mv with respect to OCP (a) Removal rates (b) current vs. time profile of copper exposed to 0.1 M oxalic acid solution containing M BTA and 1% SiO 2 as a function of overpotential. [Note: 1μA/cm Å/min of copper] (a) Removal rate (b) Current vs. time profile of copper exposed to 0.1 M oxalic acid solution containing 0.01 M TSA and 1% SiO 2 as a function of overpotential. [Note: 1μA/cm Å/min of copper] Effect of silica concentration on removal rate of copper at 750 mv overpotential Removal rate of copper in 0.1M oxalic acid containing 0.01 M TSA as a function of solution ph at overpotential of 750 mv Variation of potential with time during abrasion of copper in 0.1 M oxalic containing 0.01 M TSA and 1% SiO 2 for applied current densities of (a) 0.11 ma/cm 2 and (b) 0.61 ma/cm Effect of particle concentration on (a) measured potential, and (b) on copper removal rate in 0.1 M oxalic containing 0.01 M TSA Effect of time before polishing on removal rate of copper exposed to 0.1 M oxalic acid containing 0.01 M TSA and 1% SiO 2 at a constant current density of 0.61 ma/cm Removal rate of copper in the absence of particles as a function of oxalic acid concentration at overpotential of 750 mv (a) Removal rates and (b) potential vs. time profiles for copper abraded in presence of 0.3 M oxalic acid containing 0.01 M TSA at ph

13 12 LIST OF ILLUSTRATIONS - Continued Figure 4.17: Figure 4.18: Figure 4.19: Figure 4.20: Figure 4.21: (a) Removal rates and (b) potential vs. time profiles for copper abraded in solution containing 0.3 M oxalic acid and various concentrations of TSA at ph Comparison of BTA and TSA as inhibitor for copper exposed to 0.3 M oxalic acid solution at ph Effect of oxalic acid concentration on mass change of copper coated QCM crystal at overpotential of 750 mv Mass change of copper exposed to 0.1 M (top) and 0.5 M (bottom) oxalic acid containing 0.01 M TSA at different overpotential conditions Effect of TSA concentration on mass change of copper exposed to 0.3 M oxalic acid at overpotential of 750 mv Figure 4.22: Mass change for copper exposed to 0.3 M oxalic acid at ph 4 containing (a) M TSA and (b) M BTA as a function of overpotential Figure 4.23: Figure 4.24: Figure 4.25: Figure 4.26: Figure 4.27: Figure 4.28: Comparison of inhibition efficiency of BTA and TSA in 0.3 M oxalic acid as a function of overpotential Cyclic voltammogram for 0.01 M oxalic acid at ph 4, on platinum working electrode Cyclic voltammogram for 0.01 M TSA at ph 4, on platinum working electrode Mass change recorded during cyclic voltammetry experiment of copper exposed to 0.01 M TSA solution at ph 4 (no oxalic acid) XPS spectra of (a) as received TSA solid and (b) copper exposed to solution containing 0.01 M TSA XPS spectra of Cu 2p 1/2 and 2p 3/2 peaks showing presence of cupric states on the surface

14 13 LIST OF ILLUSTRATIONS - Continued Figure 4.29: XPS spectrum of (a) carbon (C 1s) peaks and (b) oxygen (O 1s) peaks for copper surface (washed with ethanol) exposed to 0.01 M TSA and.for comparison, as received TSA standard spectrum is also shown Figure 4.29(c): XPS spectrum of sulfur 2p peaks for copper surface (washed with ethanol) exposed to 0.01 M TSA and. For comparison, as received TSA standard spectrum is also shown Figure 4.30: Attachment of TSA molecule to the copper surface Figure 4.31: XPS spectrum of sulfur 2p peaks obtained from oxidized TSA film shown disulfide formation Figure 4.32: Complete structure of TSA film on the copper surface Figure 4.33: Schematic representation of proposed mechanism

15 14 LIST OF TABLES Table 1.1: Microprocessor interconnect technology requirement predicted in ITRS 2005 [1.5] Table 1.2: DRAM interconnect technology requirement predicted in ITRS 2005 [1.5]. 21 Table 2.1: Degree of planarity [2.5] Table 2.2: List of major types of pads and their properties [2.13] Table 2.3: Calculated values of E corr and i corr for different oxidizers Table 2.4: Dissolution and removal rates of copper in various slurry chemistries investigated by Lee et al Table 2.5: Removal rates and dissolution rate of copper films at ph 4 {Slurry A: 3wt% MoO wt% KIO 3 } Table 2.6: Assumed values to create figure Table 2.7: List of candidate low-k materials [Bold are true low-k] Table 2.8: MPU Interconnect technology requirements - near term years Table 2.9: Comparison of properties of low-k materials and oxide Table 2.10: Summary of copper disk electropolishing data..84 Table 3.1: Free energy of formation of various ligands and their copper complexes estimated using group estimation method Table 4.1: Measured current densities as a function of oxalic acid concentration and overpotential Table 4.2: Comparison of actual and estimated dissolution rate of copper Table 4.3: Open circuit potentials and Tafel parameters of copper in 0.1 M oxalic acid solution (ph 4) in the presence and absence of additives Table 4.4: Dissolution rate of copper as a function of oxalic acid concentration and overpotential

16 15 LIST OF TABLES - Continued Table 4.5: Oxidation potentials of some organic compounds Table 4.6: Atomic concentrations of elements detected in TSA standard and on copper sample exposed to 0.01 M TSA solution

17 16 ABSTRACT In an ECMP process, a wafer is anodically baised during polishing. The electrical potential is the driving force to oxidize copper metal to ions. Copper ions then react with chemistry in the electrolyte to go in solution or form a passivation layer on the surface. The passivation layer is removed by a very low downforce (0.5-1 psi), causing copper to electrochemically dissolve in solution. Passive film formation during copper ECMP is key to the success of this process, since passivation reduces dissolution in the recessed areas, while elevations on the copper surface in direct contact with the ECMP pad are electrochemically planarized. If no passive film forms, then copper removal will be conformal from the elevated and recessed areas, and planarity will be lost. Chemical formulations for the electrochemical mechanical planarization (ECMP) of copper must contain constituents that are stable at anodic potentials. A key component of the formulation is a corrosion inhibitor, which is required to protect low lying areas while higher areas are selectively removed. Organic compounds, which adsorb on copper at low overpotentials and form a film by oxidation at higher overpotentials, may be particularly useful for ECMP. The main goal of the research reported in this dissertation is to understand and develop oxalic acid-based chemical systems suitable for ECMP of copper through electrochemical and surface investigations. Special attention was paid to the development of an inhibitor, which can function under applied potential conditions. Physical methods such as profilometry and four point probe were used to obtain copper removal rates. An organic compound, thiosalicylic acid (TSA), was identified and tested as a potential

18 17 corrosion inhibitor for copper. TSA offers better protection than the conventionally used benzotriazole (BTA) by oxidizing at high anodic potentials to form a passive film on the copper surface. The passive film formed on the copper surface by addition of TSA was characterized by X-ray photoelectron spectroscopy. The oxidation potential of TSA was characterized using cyclic voltammetry. The passivation and repassivation kinetics was investigated in detail and a passivation mechanism of copper in oxalic acid in the presence of TSA is proposed. Copper removal experiments were performed on a specially designed electrochemical abrasion cell (EC-AC) in both the presence and absence of inhibitors. The effect of anodic potentials on the dissolution of copper was studied to identify suitable conditions for the electro-chemical mechanical planarization process.

19 18 CHAPTER 1: INTRODUCTION 1.1. Introduction The invention and development of the integrated circuit (IC) has permitted the rapid processing of information. The fabrication of an integrated circuit on the silicon substrate evolved from a few thousand transistors per chip in 1971 to about 500 million transistor per chip in 2004 [1.1]. It was Gordon Moore who predicted in the 1960s that the number of transistors in a chip would double every two years. This is known as Moore s Law [1.2]. This increase in device density per chip is achieved by a constant decrease in nominal feature size, such that the chip area does not expand drastically. Figure 1.1 shows that over the last 20 years, circuit density has increased by a factor of approximately This trend has led to increased complexity of the IC fabrication technology In order to lower the cost of chip manufacturing, high density ICs, also known as ultra-large-scale-integration (ULSI), are necessary. The relentless competitor and customer driven demands for increased circuit density has placed increasing demands on the interconnect technology. Chip interconnections, or interconnects, serve as local and global wiring, which connects circuit elements and distribute power [1.3]. Earlier devices with large feature sizes of 1 μm utilized aluminum based alloys (resistivity ~ 2.5 μω-cm) as the interconnect metal. Due to the need for faster devices, interconnect metal with resistance lower than aluminum alloys is required. Copper metal with resistivity of about

20 Figure 1.1: Trends in logic and memory devices [1.4]. 19

21 μω-cm is the metal of choice for fabrication sub-micron devices. The increase in device density requires the use of multilevel metallization schemes with metal and dielectric layers. Silicon dioxide (dielectric constant, k~ 4), is currently the preferred material for use as the interlayer dielectric between the metal layers. However, novel low-k materials will soon replace silicon dioxide in order to reduce the resistancecapacitance (RC) delay. The 2005 International Technology Roadmap for Semiconductors (ITRS) predicts that devices with 18 nm (DRAM ½ pitch) technology node for both logic (microprocessor) and memory chips with copper metallization will be used in the year 2018 (Table 1.1 and Table 1.2) [1.5]. It also predicts the need for 14 metal layers for the microprocessor and 4 layers for the memory devices for 18 nm technology node. Table 1.1: Microprocessor interconnect technology requirement predicted in ITRS 2005 [1.5].

22 21 Table 1.2: DRAM interconnect technology requirement predicted in ITRS 2005 [1.5]. Since copper is poorly etched by plasma and other methods, subtractive damascene and dual damascene processes are required for copper removal and planarization [1.6]. In damascene processing, copper is electrodeposited into the trenches and vias etched in the dielectric film. The copper deposition leaves a topography based on the pattern below it. Planarization of this topography is required for fabrication of devices smaller than 0.1 μm by photolithography. A cross sectional view of a metal oxide semiconductor field effect transistor (MOSFET) device with three layers of unplanarized metal interconnects is shown in Figure 1.2(a) [1.7]. Figure 1.2(b) shows the same device structure with reduced topography after planarization. In a chemical mechanical planarization (CMP) process, planarization of metal and dielectric areas is achieved by polishing a wafer with

23 Figure 1.2: Cross sectional view of MOSFET device with three levels of metal interconnects: a) Surface topography without any planarization, b) planarized surface without topography buildup [1.7]. 22

24 23 uneven topography on a polymeric pad held by a rotating platen using colloidal slurry consisting of sub-micron sized abrasive particles. An essential feature of CMP is its ability to planarize multiple materials in one step with good planarity in the nanometer size range. The constant decrease in line width of the copper features has made the integration of low-k dielectrics into the interconnect scheme a requirement. Substantial problems arise for copper CMP with the introduction of porous low-k materials. As compared to silicon dioxide, such materials have significantly lower hardness and Young s modulus, due to which they have difficulty withstanding the force applied during CMP. As a result, delamination, material deformation and crack formation occurs during CMP [ ]. Hence, the CMP process is moving towards a low pressure regime (1 psi). To compensate for the reduction of mechanical forces, the chemical aspects have to be enhanced so that the process requirements such as removal rate and planarity are not sacrificed. This has led to introduction of electrochemical mechanical planarization (ECMP). In an ECMP process, the copper film on the wafer is anodically biased while low pressure is applied during polishing. During ECMP, the removal rate is controlled by varying the applied potential. Due to the chemistry-intensive nature of the process, static etching of copper in recessed areas not abraded by a pad is a major problem. Static etching can be avoided by use of corrosion inhibitors. Addition of corrosion inhibitor to the ECMP electrolyte results in formation of passive film on the copper surface. The passive film formed on the higher areas is removed due to the mechanical action of the pad, causing copper to dissolve electrochemically. However, in recessed areas, passive film inhibits copper

25 24 dissolution. Passive film formation during copper ECMP is critical to its success. A major requirement of the passive film is it must be easily removed with low pressure in higher areas, but at the same time must also reduce the static dissolution rate to almost zero in the recessed areas. In addition, the passive film must remain stable at high anodic potentials used for ECMP. Benzotriazole (BTA) is a commonly used corrosion inhibitor for copper Research Objectives The main goal of this research is to develop a chemical system suitable for ECMP of copper through electrochemical investigations. Specific objectives are as follows: 1. Construct potential-ph diagrams to understand interaction between copper and various additives. 2. Identify compounds that can inhibit copper corrosion. Special attention has been paid to identification of inhibitors that can function effectively under anodic potential conditions used in ECMP. 3. Identify suitable potential conditions by characterizing removal rates of copper under static and abrasion conditions in oxalic acid chemistries with suitable inhibitors using a research type CMP apparatus. 4. Compare the effectiveness of the new inhibitor with that of commonly used BTA. 5. Propose a mechanism of inhibition under ECMP conditions.

26 25 CHAPTER 2: BACKGROUND 2.1. Chemical Mechanical Planarization Traditionally, chemical mechanical planarization (also referred to as chemical mechanical polishing or CMP) was used for optical finishing of glass surfaces [2.1, 2.2]. IBM first introduced CMP in the1980s to planarize metal and interlevel dielectrics (ILD) for fabrication of very large-scale integrated circuits (VLSI) [2.3]. In principle, CMP is a process of smoothing and planning a surface through the combination of chemical reactions and mechanical forces. CMP is the preferred planarization step in deep submicron IC manufacturing to remove topography from silicon dioxide, metal, and polysilicon surfaces. CMP is most widely used in the back end of line integrated circuit (IC) manufacturing. The back end line process steps involve a thin multilayer deposition of metal and dielectric materials to form interconnections between active components of a circuit (e.g. transistors) and the outside world. The goal of the CMP process is to planarize step heights caused by deposition of thin films over existing non-planar features (i.e., vias and trenches) so that the photolithographic depth of focus is maintained and further levels can be added onto a flat surface [2.4]. CMP is currently the most cost-effective technique for removing excess electrodeposited copper and reducing topography by planarizing copper. As shown in Figure 2.1, the first step is deposition of a copper seed layer by physical vapor deposition (PVD) into vias and trenches followed by electroplating. The filling and over filling of the vias and trenches leave a severe topography on the metal surface. Copper CMP is

27 26 typically performed in two phases. The first phase is bulk copper removal as shown in Figure 2.1, Step 2. This is usually achieved by a slurry chemistry that has a high copper dissolution rate of 300 to 500 nm/min. At the end of phase I, a very thin flat layer of copper remains, without exposing the barrier and dielectric layers. Phase II involves removal of remaining copper and the barrier metal (e.g. TaN). The chemistry used in phase II polishing usually focuses on the removal of barrier metal. The overall removal rate in this phase is typically around 50 nm/min. The selectivity between copper and the barrier metal is ideally one-to-one, but is often two-to-one. At the end of phase II, the dielectric materials are overpolished. This is done to ensure that no copper or barrier metals are left on the dielectric surface that may cause a short circuit between conducting copper lines. The surface topography following CMP processing is evaluated on two scales: local and global planarity. Figure 2.2 shows the topography developed when a metal is deposited on a surface that has a dielectric feature of step height D 1. The height of the metal over dielectric is M 1 and that in the trench is M 2. The step height between the two areas is designated is D 2. The planarization angle θ is described as D 2 θ = tan 1 (2.1) R where R is planarization length or step coverage distance and is defined as taper distance from the edge of the step to the next level of topography [2.5, 2.6]. Local planarization is defined as the process in which the step coverage distance is in the range of < 100 μm. Similarly, the process in which the planarization length is in the range of millimeters is

28 27 termed global planarization. In areas of high pattern density, R will decrease in relation to D 2, thereby increasing θ. In areas of low pattern density, θ will be low. The step height reduction (SHR) is defined as D2 ( post planarization) SHR = 1 (2.2) D ( pre planarization) 2 Planarity can be achieved only if the reduction of M 1 is greater than M 2. The degrees of planarity are tabulated in Table 2.1. Due to its ability to achieve global planarity (θ < 0.5 o ), the CMP process is considered as the best planarization technique. In copper CMP, the goal is not only to achieve planarity but also to reduce D 2 to zero and remove M 1 completely. The biggest challenge in the CMP process is to achieve optimal step height reduction without introducing additional defects. Typical defects commonly observed after CMP are dishing and erosion. Dishing is generally seen in the areas of low pattern density, while erosion is seen in the areas of high pattern density.

29 Figure 2.1: Schematic of copper damascene process.(1) Electrodeposition of copper to fill vias and trenches. (2) Bulk copper removal. (3) Barrier metal removal and overpolish. 28

30 29 Figure 2.2: Measurement of planarity [2.5] Table 2.1: Degree of planarity [2.5]. Planarity R (μm) θ Surface smoothing > 30 o Local planarization o -0.5 o Global planarization > 1000 < 0.5 o

31 CMP Tools The first generation of CMP polishers used a single robot system to move the wafer and hold it on the carrier. The polisher comprised of two platens, one covered with a hard pad for bulk material removal, the other with a soft pad for buffing. The major issues with these polishers were platen wobble and low throughput. In the traditional polisher, both the platen and the wafer moved in rotary motion, hence the name rotary tool. A schematic of various CMP tools is shown in Figure 2.3. The magnitude of offset between the axis of rotation of carrier and the platen determines the relative velocity between the pad and the wafer [2.7]. The relative velocity is a very important factor, which influences the removal rate of the material. In an orbital polisher, the platen moves in an orbital fashion while the carrier is rotated [2.8]. Today s CMP tool is one of the most complex pieces of equipment on the fab floor with various mechanical and electrical control systems. The major parts of the tool are the platen and the wafer carrier. The platen is a large circular disc on which the pad is mounted. Depending on the complexity and generation of the tool, the number of platens can vary from one to three. The tools that are generally used for copper CMP have three platens, each designed to perform specific task. The bulk copper removal takes place on the first platen, followed by removal of remaining copper on the second platen and stopping at the barrier metal. The wafer is then transferred to the third platen where the barrier film is removed and the polishing is stopped at the interlayer dielectric (ILD). The wafer carrier transfers the wafers from one platen/pad combination to another and presses

32 31 the wafer against the pad at a specific operating pressure (~8-9 psi). The use of multiple platens allows the use of different slurries and pads as per the process requirement.

33 Figure 2.3: Schematic of various CMP tools: (a) rotary, and (b) orbital [ ]. 32

34 CMP Consumables Pads The polishing pad has a dominating effect on CMP process performance. The polishing pad is made up of a matrix of cast polyurethane foam along with filler material to control the hardness and other mechanical properties of the pad. Polyurethanes have the unique property of combining high strength, hardness, and modulus combined with high elongation at failure. The pad plays a crucial role in process optimization as it distributes the slurry under the wafer, executes the polishing action, and transmits the normal and shear forces for polishing. A pad is typically characterized by its hardness, density, and compressibility [2.10, 2.11]. The most important factor taken into consideration while selecting a pad is its hardness. If the pad is very soft, it will conform to the shape of the pattern and hence global planarity will not be achieved. Alternatively, if the pad is very hard, it will lead to defects such as scratching. A stacked pad consisting of an IC 1000 top layer and a Suba IV soft pad is currently the pad system of choice with IC 1000 making contact with the wafer surface. It has been reported [2.12] that different pad surface textures show different material removal mechanisms. Thus, an important factor that should be considered during pad selection is the shape of the grooves and the asperities. The main function of these asperities and grooves is to carry and transfer the slurry to the wafer surface. While perforated, XY and K-grooved pads are most commonly used, many groove designs are commercially available. Some of the more novel designs enhance slurry distribution, reducing the amount of slurry used without much wastage. During the polishing process, the abrasive particles in the slurry can be caught between the asperities of the pad. The

35 34 resulting smoothing of the pad surface causes the wafer to hydroplane, reducing material removal rate. This problem is eliminated by pad conditioning. The pad is continuously conditioned by a wire brush or a disc with embedded diamond particles, which remove the slurry particles from blocked asperities, and roughen the pad surface. Some of the major types of pads with their key features, properties, and typical application are summarized in Table 2.2 [2.13]. A fixed abrasive pad (FAP) is a new generation of pads that has emerged as a potential replacement for slurry based pads. In a fixed abrasive pad, the abrasive particles are embedded in the pad matrix. The abrasive particles are bonded to the polycarbonate layer of the pad. The surface of these pads has evenly distributed micro replicated structures in which abrasive particles are embedded. As with the groove designs, different types of FAP designs are available with the most common being pyramid and pole designs. It has been reported that the polishing of shallow trench isolation (STI) structures with fixed abrasive pads leads to high removal rates with superior uniformity and planarity, and without dishing [2.14].

36 Table 2.2: List of major types of pads and their properties [2.13]. 35

37 CMP Consumables Slurries The slurry is one of the most important consumables in CMP process as it plays two key roles of providing chemical and mechanical effects during polishing. The CMP process is influenced to a great extent by numerous slurry parameters such as ph, solution chemistry, charge type, concentration and size of abrasives, complexing agents, oxidizers, buffering agents, surfactants, corrosion inhibitors, etc. Some important functions of slurry are: (1) to act as a lubricant by reducing the frictional forces between the pad and the wafer, (2) to dissipate the heat generated due to friction and (3) to transport the reactants to the wafer surface and byproducts away from the surface. A typical CMP slurry consists of an oxidant, abrasive particles, and proprietary additives. The abrasive particles transfer the mechanical load from the pad to the wafer surface. Alumina (Al 2 O 3 ) and silica (SiO 2 ) particles are the commonly used abrasives [2.15, 2.16]. Some of the new abrasives under investigation [ ] are zirconium oxide (ZrO 2 ) and cerium oxide (CeO 2 ). The size of these abrasives and the concentration range varies from nm and from 0.5% -10%, respectively. Oxide CMP slurries use high concentration of abrasives (10%) as the removal rate is mostly due to mechanical abrasion. This is not true for metal CMP since the removal rate is controlled by oxidizer and complexing agent concentrations. Thus, metal CMP slurries use a low concentration of abrasive particles (3%). For slurry to be viable for CMP application, the abrasive particles must remain stable (suspended) over a long period without agglomeration. The stability of abrasive particles in the slurry is determined by its ph. Normally dispersants such as polyacrylic acid are added to maintain good dispersion of solids. The current

38 37 trend in the industry is to move towards abrasive free slurries for CMP [ ]. This in turn reduces the number of defects caused by the abrasives and makes it easier to dispose off the CMP waste. The material to be polished determines the nature and amount of chemical components in the slurry. For example, slurries used for oxide CMP are generally alkaline in nature (ph 9-11). The ph is adjusted by the addition of potassium hydroxide (KOH), ammonium hydroxide (NH 4 OH), etc [2.24]. The slurries used for metal CMP are acidic in nature. For example, the ph of copper CMP slurry varies from 3 to 6 while that of tungsten CMP varies from 2 to 4. Metal CMP slurries comprises of oxidizers, complexing agents, corrosion inhibitors and ph buffers. Commonly used oxidizers are hydrogen peroxide (H 2 O 2 ), hydroxylamine (NH 2 OH), potassium ferricyanide (K 3 Fe(CN) 6 ), potassium iodate (KIO 3 ) and ferric nitrate (Fe(NO 3 ) 3 ). The complexing agents are added to ensure the solubility of the metal in solution. In many slurries the oxidizer can also act as a complexing agent for the metal. The best example is the use of hydroxylamine based slurries for copper CMP. The combination of oxidizer and complexing agent makes the slurry a very strong etchant. As the metal film coming in for CMP has surface topography, planarity can only be obtained if the low lying areas are protected while the higher areas are being polished. This is achieved by the addition of corrosion inhibitors, which form a passive film over the metal surface, and stop static dissolution of the metal film. A common such corrosion inhibitor for copper is benzotriazole (BTA).

39 CMP Mechanisms Oxide CMP Even though oxide CMP has been developed based on glass polishing for optical lenses, the mechanisms required to explain oxide CMP are complex. The first contributing process is heating of the wafer by friction. As SiO 2 abrasives are used for oxide CMP, the rubbing action of these abrasives on the SiO 2 layer creates localized heating due to the poor thermal conductivity of amorphous SiO 2. Since water in the slurry is the coolant, the abrasives are cooled more effectively than the oxide layer. The increase in temperature of silica decreases its hardness, which leads to plastic deformation of the oxide layer [2.25]. The second contributing process is hydration of the oxide during this plastic deformation. Water readily enters the oxide during plastic deformation and reacts with the silica network in the following manner H 2 O + Si-O-Si Si-OH + HO-Si K eq = [ H 2 [ Si OH ] O][ Si O Si] 2 The reaction between water and the silica network is such that almost all the water related species exist in the form of hydroxyl [ ]. Water incorporation decreases oxide hardness due to increased plasticity and decreases mechanical strength [2.29]. Thus plastic deformation of oxide assisted by frictional heating and oxide hydration results in a softer hydrated surface layer. This softer layer is removed by the plowing action of the abrasive particles.

40 39 It has been reported that the use of CeO 2 based slurries for oxide CMP results in higher removal rates. Cook proposed that at alkaline ph, the first step is formation of Si-O - species due to surface hydration of the oxide. This is followed by the reaction of Si-O - with Ce-OH sites to form a Si-O-Ce linkage. The OH - ions in the slurry attack this linkage which results in removal of Si from the surface as a Si(OH) 4 species, with all the four tetrahedron bonds broken [2.30]. The mechanism for metal CMP is very complex and depends on the nature of the metal. In general, metal CMP involves corrosion, complexation, and passivation of metal when exposed to aqueous solution. Depending on the ph of the solution and additives, the stability of metal, metallic ions, metal complex changes, resulting in its removal Tungsten CMP Kaufman et al [2.31] proposed one of the earlier mechanisms for tungsten CMP. They proposed that global planarization of tungsten can be easily achieved in slurries that help the formation of a WO 3 passive film The slurry investigated was potassium ferricyanide [K 3 Fe(CN) 6 ] based. The passivation of tungsten is a result of the following oxidation reaction: W + 6Fe(CN) H 2 O WO 3 + 6Fe(CN) H + This passive film is dense, non-porous, and softer than tungsten, which prevents diffusion of corrosive components and makes the removal of tungsten easier. Thus, polishing of

41 40 tungsten occurs in 3 steps: (1) formation of WO 3 passive film on the surface, (2) removal of this film in high areas as a result of abrasion due to pad contact and (3) repassivation of the abraded area. This is schematically shown in Figure 2.4. The low-lying areas are always protected due to the presence of passive film since there is no pad contact. However, this mechanism is limited to acidic ph values. Figure 2.5 shows a potential-ph diagram for the W-H 2 O system drawn for a dissolved tungsten concentration of 10-4 M. Tungsten forms an oxide (WO 3 ) only if the ph of slurry is less than 2. Above ph 2, the dissolved species of tungsten (W 12 O 6-39, W 12 O 10-41, WO 2-4 ) are stable. Thus, a slurry ph of greater than 2 would actively dissolve tungsten in the following manner. W + 6Fe(CN) H 2 O WO Fe(CN) H + It is important to note that the thickness of the WO 3 film was determined to be only nm, while the removal rate observed was in the neighborhood of nm/min. The proposed passivation abrasion repassivation mechanism does not hold true in the presence of these observations. Hence, the passivation mechanism is not the only one responsible for tungsten removal. Kneer et al. [2.32] characterized the passivation behavior of tungsten in various chemistries by measuring corrosion potential (E corr ) as a function of time. They found that during abrasion, E corr shifted to more negative values, signifying removal of the oxide layer and exposure of the tungsten metal. When abrasion was stopped, E corr shifted to more positive values, showing signs of repassivation. A careful observation of polished tungsten film by atomic force microscopy (AFM) indicated that corrosion assisted fracture may be an important removal mechanism for tungsten during CMP.

42 Figure 2.4: Mechanism of tungsten CMP proposed by Kaufman et al. [2.31]. 41

43 W 12 O WO 3 W 12 O WO 4 2- E (volts) WO W W = M ph Figure 2.5: Pourbaix diagram for W-H 2 O system

44 Copper CMP The demand for fast signal propagation in integrated circuits has led to the introduction of copper as the metal of choice for interconnect applications. The bulk resistivity of copper is 1.7 μω-cm and it has a higher resistance to electromigration than aluminum [2.33, 2.34]. In today s integrated circuits, except for the first level of metallization, where copper contamination of silicon devices is a problem, copper interconnects for long transmission lines is a standard in multilevel interconnects. The steps involved in the fabrication of copper damascene structures were discussed in Section 2.1. Planarization of copper damascene structures is very challenging because copper is both electrochemically noble and chemically active. Figure 2.6 shows a potential-ph diagram for the Cu-H 2 O system constructed for different dissolved copper concentrations. At acidic ph values (ph < 4), copper metal is unstable and easily dissolves as Cu 2+ ions in solution. Similarly at alkaline ph conditions (ph > 13), copper dissolves in the form of HCuO - 2 and CuO 2-2 species. The amount of dissolved copper can change the stability of various copper species. As the dissolved copper concentration increases, the Cu 2+ /CuO stability line shifts towards left from ph 7 to 4, and the stability region of CuO expands into alkaline ph values Nitric Acid Based Chemistries Initial research by Steigerwald et al. [ ] investigated copper CMP in nitric acid based slurries containing alumina particles. Figure 2.7 shows the removal rate of

45 44 copper as a function of nitric acid concentration. The removal rate of copper without nitric acid was ~ 1.2 μm/min. No significant increase in removal rate was observed above the nitric acid concentration of 2 wt%. The etch rate of copper was also found to increase with HNO 3 concentration. It was concluded that the dominant removal mechanism in nitric acid-based slurries was mechanical abrasion of the surface followed by chemical dissolution of the abraded surface. D. C. polarization and A.C. impedance spectroscopy techniques were used by Carpio et al. [2.36] to investigate copper removal in variations of KMnO 4 and HNO 3 chemistries containing silica and alumina abrasives. This study found that the calculated corrosion potential (E corr ) and corrosion current density (i corr ) values did not shift significantly with abrasion for HNO 3 based slurries, signifying that nitric acid is a strong copper etchant. The calculated values of E corr and i corr for both the oxidants are listed in Table 2.3. Potentiodynamic curves obtained with and without abrasion in an unbuffered 3% solution of KMnO 4 showed a decrease in E corr and increase in i corr due to removal of the passivation layer during abrasion. However, low polish rates of 50 nm/min were seen in both acidic and basic conditions. Hence, KMnO 4 was declared to have limited application in copper CMP slurries. Table 2.3: Calculated values of E corr and i corr for different oxidizers. Solution Abrasion Abrasion No abrasion No abrasion E corr (V) I corr (ma/cm 2 ) E corr (V) I corr (ma/cm 2 ) HNO 3 (1 wt%) HNO 3 (5 wt%) KMnO 4 (3 wt%)

46 Potential (V) vs SHE Cu 2+ Cu CuO Cu 2 O HCuO 2 2 CuO [Cu] = [Cu] = 10 3 [Cu] = Figure 2.6: Pourbaix diagram for Cu-H 2 O system. [Activities of dissolved copper species = 0.1 M, 10-3 M and 10-6 M] ph 3 Figure 2.7: Polish rate and etch rate of copper in nitric acid slurries [2.39].

47 Ammonia Based Chemistries Luo et al. [ ] investigated copper polishing in alkaline CMP slurries containing NH 4 OH (ph =11). Figure 2.8 shows the removal rate of copper as a function of ammonia concentration. The removal rate of copper increased to 210 nm/min as the NH 4 OH concentration was increased to 0.3% by weight. The removal rate leveled off with a further increase in NH 4 OH concentration. The potential-ph diagram for the Cu- NH 3 -H 2 O system is shown in Figure 2.9. The diagram was constructed for a dissolved copper concentration of 10-6 M and ammonia concentration of 0.1 M. The diagram showed that in acidic ph conditions (ph < 4), copper is stable as dissolved Cu 2+ species. At near neutral and alkaline conditions, ph > 4, copper is stable in the form of copperamine complexes, Cu(NH 3 ) x 2+ (where x = 1 to 5). In more reducing conditions, Cu(NH 3 ) 2 + may exist as well. Alkaline copper CMP slurries are generally not recommended due to lack of selectivity between the copper and interlayer dielectric (ILD) such as SiO 2. This is because copper passivates while SiO 2 is attacked at high ph values. The effect of adding an extra oxidizer, NaClO 3, on the copper removal rate in NH 4 OH solution (6 wt%) was also investigated. It was found that the addition of an oxidizer increased copper removal rate significantly from 250 nm/min, in the absence of oxidizer, to 450 nm/min at 0.1 M NaClO 3. Polishing of copper was also carried out in ammonium salts such as NH 4 NO 3 and (NH 4 ) 2 SO 4. The removal rates obtained were similar to those obtained with NH 4 OH based slurries. This is more advantageous because the loss of SiO 2 will be minimized in ammonium salts.

48 Figure 2.8: Effect of NH 4 OH concentration on copper removal rate [2.41]. 47

49 E vs. SHE (V) Cu 2+ Cu(NH 3 ) + Cu(NH 3 ) 2+ Cu(NH 3 ) 2 2+ Cu(NH 3 ) 3 2+ Cu(NH 3 ) 4 2+ Cu(NH 3 ) 2 + Cu(OH) 3 - Cu(NH 3 ) 5 2+ Cu(OH) Cu ph Cu = 10-6 M, N = 1 M Figure 2.9: Pourbaix diagram for Cu-NH 3 -H 2 O system

50 Hydrogen Peroxide Based Chemistries Hydrogen peroxide (H 2 O 2 ) is the most commonly used oxidizing agent in copper CMP slurries. Hydrogen peroxide is a weak acid, which decomposes in water even at room temperature. It acts as an oxidizing agent by accepting one or two electrons in acidic conditions as shown in following equations [2.42]. + H 2O 2 + 2H + 2e 2H 2O + H 2 O 2 + H + e OH + H 2O The hydroxyl radicals ( OH) formed in the second reaction have a higher oxidizing power than the hydrogen peroxide itself. Du et al. [2.43] investigated CMP removal rates and static etch rates of copper in H 2 O 2 solutions at ph 4. They found that the copper removal rate increased with H 2 O 2 concentration and reached a maximum of 180 nm/min in 1% H 2 O 2. With further increase in H 2 O 2 concentration, the removal rate decreased and then leveled off beyond 5% H 2 O 2. The static removal rate was an order of magnitude lower than the polishing removal rate but followed a similar trend. The decrease in copper removal rate with increasing H 2 O 2 concentrations was attributed to the passivation of the copper surface. This is also evident from the potential-ph diagram for the Cu-H 2 O system shown in Figure 2.6. It was concluded that at low peroxide concentrations, copper removal was controlled by electrochemical dissolution, while at high peroxide levels, the removal rate was controlled by mechanical removal of copper oxide and its subsequent dissolution. Normally peroxide based slurries contain some complexing agents to increase the removal rates. Hirabayashi et al. [2.44] investigated slurries containing hydrogen

51 50 peroxide and glycine for copper CMP. Static etch rates and CMP removal rates were characterized as a function of H 2 O 2 concentration. Both the static etch rate and the CMP removal rate decreased with an increase in H 2 O 2 concentration at a constant glycine concentration of 0.1 wt%, Beyond 5 wt% H 2 O 2, the static rate was not measurable but the polishing rate remained at 10 to 40 nm/min. This behavior was attributed to the oxidation of copper at higher H 2 O 2 concentrations Hydroxylamine Based Chemistries Hydroxylamine (NH 2 OH) has been actively tested as a replacement for hydrogen peroxide in copper CMP slurries [ ]. Hydroxylamine tends to function as an oxidizing agent at acidic ph values and as a reducing agent at alkaline ph values [2.50]. Hydroxylamine is a weak back characterized by a pk b of 8.2. The dissociation reactions for hydroxylamine are as follows: NH 3 OH + NH 2 OH + H + K 1 = 1.58 x 10-6 NH 2 OH NH 2 O - + H + K 2 = 1.99 x A potential-ph diagram for copper-hydroxylamine-water system superimposed on the diagram for hydroxylamine-water system is shown in Figure The species considered in constructing the hydroxylamine-water diagram were NH 2 OH, NH 3 OH +, NO 3, HNO 3, NO 2, and HNO 2. Since the thermodynamic stability of the ammonia species is higher than the hydroxylamine species, if the ammonia species NH 3 and NH + 4, were considered in the construction of diagram, they would replace the stability fields of NH 2 OH and NH 3 OH +. The diagram was generated for a dissolved copper concentration

52 51 of 10-4 M and hydroxylamine concentration of 0.5 M. It may be noted that the hydroxylammonium cation (NH 3 OH + ) is stable in acidic conditions and can be oxidized to nitrite/nitrous acid (NO 2 /HNO 2 ). Further increase in potential can oxidize the NO 2 /HNO 2 species to nitrate species (NO - 3 ). Based on the reduction potential of the HNO 2 /NH 3 OH + couple, NH 3 OH + is not likely to oxidize copper to cupric ion under acidic conditions. Both nitrous acid and nitrite can oxidize copper in a wide range of ph values. The E-pH relations for the reduction of NH 3 OH + to NH + 4 and NH 2 OH to NH 3 are plotted as dotted lines in the diagram, since ammonia species were excluded from the calculations. Copper forms 1:1 and 1:2 complexes ([Cu(NH 2 OH)] 2+ and [Cu(NH 2 OH) 2 ] 2+ ) with hydroxylamine, which are stable in the ph range of 4-7. Tamilmani et al. [2.51] carried out static dissolution and abrasion experiments on copper samples exposed to 0.5 M hydroxylamine solution at a different ph. Figure 2.11 shows removal rates as a function of solution ph. It was found that the highest dissolution of copper occurred around ph 6 (85 nm/min), with the rate decreasing very rapidly at ph values above 7 and below 5. Abrasion experiments carried out at a downforce of 4 psi with 4% silica slurry showed that the removal rate followed a similar trend with a maximum removal at ph 6 (~ 130 nm/min). The addition of corrosion inhibitors such as benzotriazole (BTA) and salicylhydroxamic acid (SHA) resulted in a static etch rate of less than 0.1 nm/min and polish rates of 17 and 40 nm/min. The dissolution of copper was envisioned to take place in three steps: (1) formation of nitrite from hydroxylamine through disproportionation aided by the catalytic effect of cupric ions, if available, (2) oxidation of copper by nitrite and (3) complexation of copper ions.

53 52 Carter and Small [2.52] proposed a free radical based dissolution mechanism of copper in hydroxylamine. Using electron spin resonance (ESR) technique, they found that when sulfuric acid was used for ph adjustments (HSO3)2NO free radicals were generated. It was proposed that the free radical causes oxidation of copper by catalytic mechanism. The oxidant would become available (replenished) in controlled amounts as it is being consumed during the metal removal step. Huang [2.53] performed a series of electrochemical measurements and capillary electrophoresis analysis on copper hydroxylamine system. From the electrochemical polarization of copper at ph 6, it was found that the oxidation reaction is dependent on hydroxylamine concentration while the reduction reaction is not. The oxidation reaction is due to oxidation of copper followed by hydroxylamine complexation. A reduction peak of NO to NO - was observed at -0.5 V from voltammetry studies. The presence of NO in hydroxylamine solution is due to the auto-oxidation/reduction reactions of hydroxylamine. Huang proposed that the reduction of nitric oxide (NO) to hyponitrous (H 2 N 2 O 2 ) via nitroxyl (HNO or NO - ) intermediate at ph 6 was responsible for the oxidation of copper. It was determined using capillary electrophoresis analysis that the reduction of NH 2 OH to NH + 4 did not occur to any significant extent. Copper dissolution experiments conducted in hydroxylamine solution at ph 6 revealed the dissolution reaction was first order with respect to hydroxylamine concentration with rate constant k estimated to be nm min -1 mol -1 [2.53]. Using a rotating disc electrode, Osseo-Asare and Al-Hinai found a similar relationship at ph 6 [2.54].

54 Potential, (V vs SHE) Cu 2+ HNO 2 Cu(NH 2 OH) 2+ Cu Cu(NH 2 OH) 2 2+ NO 2 - NO 3 - NH 3 OH + + => NH 4 NH 2 OH => NH 3 Cu 2 O CuO -1.0 NH 3 OH + NH 2 OH Cu = 10-4 M, N = 0.5 M N = 0.5 M ph Figure 2.10: Pourbaix diagram for Cu-hydroxylamine-H 2 O system overlaid on hydroxylamine-h 2 O system. Removal rate, (Å/min) (?/min) Static - EC Static - AA Polishing - AA ph Figure 2.11: Removal rate of copper in 0.5 M hydroxylamine solution as a function of ph.

55 Iodate Based Chemistries Iodate based slurries have been tested as a replacement to peroxide based slurries for copper CMP. It has been proposed by Luo et al. [2.55] that, at KIO 3 concentration above 2 wt%, a protective film of Cu(IO 3 ) 2 is formed on the surface of copper, which makes iodate based slurries promising for copper CMP. Lee et al. [2.56] investigated iodate and iodine based slurry chemistries with a goal of forming a copper compound passive layer instead of an oxide layer on the surface in order to obtain high removal rates without any surface damage. They used potassium iodate (KIO 3 ) and iodine (I 2 ) solution as a copper oxidizer, benzotriazole (BTA) as an inhibitor, and potassium iodide (KI) as an additive to supply iodide ions. Potentiodynamic measurements showed a passive region of copper in 0.1 M KIO 3 slurry between ph 6 and 8. This passivation was due to formation of oxide layer on the surface, as revealed by EDS. Abrasion of copper in 0.1 M KIO 3 slurry at ph 4, showed localized corrosion areas on the surface with lower removal rate of 21 nm/min and a static dissolution rate of 3 nm/min. Addition of 10-2 M KI to 0.1 M KIO 3 also resulted in lower removal rates. The reason behind lower rates was attributed to incomplete formation of CuI layer on the surface. To enable faster formation of CuI layer, iodine (I 2 ) based solution were used because I 2 is oxidizer to copper and forms CuI layer. The reduction reactions of iodate and iodine are as follows. IO H + + 6e - I - + 3H 2 O I 2 + 2e - 2I - It was found that addition of 0.01 N I 2 was very effective in forming the CuI passive layer at ph 4 in KIO 3 slurries and resulted in high removal rate of 170 nm/min with a static rate

56 55 of less than 0.1 nm/min. The removal rates obtained in various chemistries are listed in Table 2.4. The effect of ph on the removal rate of copper in iodate based slurries was investigated by Du et al. [2.57]. Polishing of high purity copper discs was carried out in 0.1 M KIO 3 based slurries containing alumina particles. The ph was varied from 2 to 10. A low removal rate of 50 nm/min was observed at ph 2. This was attributed to fast interaction between copper and KIO 3 leading to precipitation of CuI on the pad as shown by XPS analysis. The precipitation of CuI made the pad glossy, resulting in low removal rates. The maximum removal rate of 120 nm/min occurred at ph 4, with removal rate decreasing with increasing slurry ph. Hegde et al. [2.58] investigated the feasibility of using molybdenum oxide abrasives (MoO 2 ) with potassium iodate (KIO 3 ) as the oxidizing agent for copper CMP. Figure 2.12 shows copper disk removal rates as a function of weight percent KIO 3 in MoO 2 slurry at ph 4. The copper disk removal rate increased from 90 nm/min in the absence of KIO 3 to 750 nm/min at 3 wt% KIO 3. It was also observed that the copper disk removal rate with KIO 3 solution was only 30 nm/min while that with the solution of MoO 2 -KIO 3 slurry was ~268 nm/min. This increase in copper disk removal rate indicated the presence of additional reactive species in the MoO 2 -KIO 3 slurry apart from KIO 3. Colorimetric tests performed with a starch solution showed that KIO3 continuously oxidizes MoO 2 to MoO 3, which exists as MoO 2-4, a soluble Mo (VI) species under acidic conditions, while KIO 3 itself is reduced to I 2. The pertinent reactions are as follows:

57 56 Table 2.4: Dissolution and removal rates of copper in various slurry chemistries investigated by Lee et al. [2.56]. Slurry Chemistry ph Dissolution rate (nm/min) Removal rate (nm/min) M KIO M KIO 3 and 10-2 M KI M KIO 3 and 10-3 M BTA % H 2 O

58 Figure 2.12: Removal rates of copper disk with slurry containing 3% MoO 2 and varying concentration of KIO 3 at ph 4 [2.58]. 57

59 58 Combining the above reaction gives, MoO 2 + 2H 2 O MoO H + + 2e - 2IO H e - I 2 + 6H 2 O 5MoO 2 + 4H 2 O + 2IO 3-5MoO H + + I 2 It was also observed that the copper disk removal rates with a MoO 2 -KIO 3 slurry aged for a day were far lower (~30 nm/min) than those with the fresh slurry (~750 nm/min). It was confirmed by colorimetric tests that this was due to evaporation of most of the I 2 generated in the aged slurry. They concluded that the in situ generation of I 2 in MoO 2 - KIO 3 slurries is responsible for the higher copper removal rates, with MoO 2 acting both as a chemical reactant and as an abrasive. The dissolution rate of copper blanket film in MoO 2 -KIO 3 slurry along with several additives at ph 4 was also investigated. Table 2.5 lists the removal rate and dissolution rates in this slurry containing several additives such as BTA and polyacrylic acid (PAA). Furthermore, both tantalum and thermal oxide blanket film removal rates with this slurry were only 2 nm/min, resulting in a very high and desirable Cu:Ta:oxide selectivity of ~500:1:1, which shows these slurries are promising candidates for copper CMP. Table 2.5: Removal rates and dissolution rate of copper films at ph 4 (Slurry A: 3 wt% MoO wt% KIO 3 ) [2.58]. Slurry Composition Removal rate (nm/min) Dissolution rate (nm/min) Slurry A 695 ± Slurry A + 1 mm BTA 471 ± 23 6 Slurry A + 1 wt% PAA 997 ± 36 38

60 Integration Issues in Copper CMP for 65 nm Technology Node and Beyond Need for Low-k Materials The integrated circuit is a dynamic device that operates by transforming electrical inputs into appropriate outputs in some prescribed time interval. Therefore, the overall circuit speed is of paramount importance. This circuit speed has been steadily improving as device and wiring dimensions have shrunk. As a result, not only must the resistance of a single wire be considered, but also, the impedance of the interconnect structure, which is made up of complicated array of wires separated by insulating material. A schematic representation of such a structure is shown in Figure In the figure, R is the series resistance of the wire, C is the capacitance between adjacent wires on the same level of metal, and C' is the capacitance between the wiring levels. Thus, both the resistance of the wiring and the characteristic capacitance of the insulator determines the RC time constant of the interconnect. The time delay of an electrical signal propagating through the interconnect structure is then equal to the reciprocal of the time constant. Based on this relation, it can be stated that the performance of the interconnect array can be improved by decreasing the dielectric constant of the insulator as well as resistivity of the conductor metal. If the semiconductor device dimensions were large, the circuit speed would be dominated almost entirely by the time constants associated with transistor operation and not by interconnect structure. This is not the case, however, when the device dimensions shrink. Figure 2.14 shows the variation of time delay in picoseconds as a function of device generation. In this figure, the dashed curve represents signal delay associated with

61 Figure 2.13: Schematic of interconnect. 60

62 61 fundamental semiconductor device structures, which decreases with transistor size and channel length. In contrast, the curves with triangles and circles indicate the interconnect delay for Al/SiO 2 and copper/low-k interconnect structures, respectively. Table 2.6 shows the dielectric constant, bulk resistivity, and other data assumed for the curves. The interconnect delays for both Al/SiO 2 and Cu/low-k structures increase due to the increased resistance of smaller dimension wiring and increased capacitance due to more closely packed lines and thinner insulators. Thus at small device geometry, the sum of these contributions, which represent a signal delay for the overall circuit, is dominated by the interconnect. It is also clear from the figure that incorporation of copper lines along with low-k as the interlayer dielectric material would significantly reduce time delay compared to that with aluminum lines and SiO 2. This led to the introduction of low-k materials in copper damascene structures. Table 2.7 lists some of the candidate low-k materials along with their dielectric constants. The semiconductor industry is currently working at the 65 nm technology node. The interconnect technology requirements for this and future nodes are tabulated in Table 2.8. According to the International Technology Roadmap for Semiconductors (ITRS), the minimum required bulk dielectric constant of the insulator material for the 65 nm node is k 2.4. Copper still remains the preferred choice for metal wiring. It is also clear that as the device dimensions keep shrinking, the required dielectric constant of the insulator material must also keep decreasing [2.59].

63 62 (μm) Figure 2.14: Variation of time delay as a function of device generation [2.60]. Table 2.6: Assumed value to create figure 2.11 Material Properties and assumed values Al 3.0 μω-cm Cu 1.7 μω-cm SiO 2 K = 4.0 Low K K = 2.0 Al & Cu 0.8 μm Thick Al & Cu line 436 μm Long

64 63 Table 2.7: List of candidate low-k materials. [Bold are true low-k] Inorganic Organic Dielectrics Dielectrics Material Dielectric constant (k) SiO FSG HSQ (Hydrogensilses-quioxane) Porous Silica SiLK 2.6 Porous SiLK 2.2 SiOC Porous SiOC MSQ (methylsilses-quioxane) Porous MSQ Polyimide Organic Polymer Parylane Tefron Amorphous Carbon (F-doped) < 2.5 CDO (carbon doped oxide) ~ 2.6

65 Table 2.8: MPU interconnect technology requirements - near term years [2.61]. 64

66 Integration Challenges for Copper/Low-k Interconnects The major difficulty with some of the low-k dielectric materials listed in Table 2.7 is that in order to obtain a low dielectric constant, other material properties are generally modified in an undesirable direction. For example, introducing porosity into these materials reduces the dielectric constant. However, introduction of porosity reduces the mechanical strength and hardness of these materials. Table 2.9 compares the properties of low-k materials and oxide. The mechanical strength and hardness of the low-k insulator are much less than that of vitreous silica. This directly affects CMP because the downforce and rotation rates must be lowered to avoid mechanical damage and scratching [ ]. Conventional CMP processing for Cu/SiO 2 structures uses downforce of 8-9 psi. With the introduction of low-k materials, the CMP process must be carried out at very low downforce of < 1 psi. This also requires the development of new consumables such as slurries and pads. Abrasive free slurries are currently being introduced to increase selectivity and to reduce defectivity on copper such as scratching, erosion, and dishing. Another issue is layer-to-layer adhesion. As the mechanical strength of the low-k materials is drastically reduced due to porosity, exposure of Cu/low-k structure to conventional CMP leads to delamination of the low-k film. Figure 2.15(a) shows an SEM cross-section of delamination in low-k film. In addition, a decrease in the dielectric constant of the low-k material also makes it mechanically weak. This in turn reduces the time to CMP-induced delamination. The time before the CMP-induced delamination occurs can be increased by performing CMP at lower downforce pressures. This is shown in Figure 2.15(b).

67 66 Table 2.9: Comparison of properties of low-k materials and oxide Property Low-k Oxide Density (g/cm 3 ) Dielectric Constant ~ Modulus (GPa) ~ Hardness (GPa) ~ Coefficient of Thermal Expansion (ppm/k) ~ Porosity (estimated) ~ 35 65% N/A Average Pore Size < nm N/A Thermal Conductivity (W/m K)

68 67 (a) (b) Figure 2.15: (a) Cross-section SEM micrograph showing delamination of low-k film undergone CMP [2.65] and (b) Variation of time to CMP-induced delamination as function of modulus of low-k film [2.65, 2.66].

69 68 A typical mechanism of conventional copper CMP is mechanical abrasion followed by chemical dissolution of the abraded material. However, with low-k materials, more of the chemical aspect is necessary so that the downforce pressures can be reduced to maintain low-k material integrity [2.67]. In an earlier study, Borst et al. [2.68] investigated low abrasive content slurries for copper CMP. Two types of commercial slurries from Cabot Microelectronics Corporation were tested. Slurry 1 contained 3 wt% Al 2 O 3 with ph ~ 7 and slurry 2 was weakly acidic in nature (ph 4) and contained 0.5 wt% Al 2 O 3. As the abrasive content was reduced, an aggressively reacting chemical component was added along with a corrosion inhibitor. The exact name of the chemical was not disclosed. The polishing was carried out with a rotary polisher using stacked concentric grooved pads. Both slurries were tested for low downforce (~ 1 psi) and high downforce (~ 3 psi). It was found that slurry 2 had approximately two-thirds the removal rate (600 nm/min) of slurry 1 at high downforce (900 nm/min). For low downforce, the rate of slurry 2 (200 nm/min) was approximately half of the rate of slurry 1 (450 nm/min). Careful observation of the polished surface topography showed that the slurry with its low abrasives content was able to achieve reduced dishing, erosion, and copper loss due to corrosion by taking advantage of corrosion inhibitors. This was not the case with slurry containing a higher abrasive content where more dishing and erosion of copper was observed. In the absence of corrosion inhibitor, a very high copper loss due to corrosion was observed for both slurries. No damage to the underlying low-k film was observed when CMP was carried out using slurry 2 at low downforce.

70 69 The major issue encountered during this work was reduced bulk copper planarization efficiency. The low abrasive slurry required extended overpolish to ensure copper clearing over the barrier metal. Any leftover copper increases the risk of line-to-line shorting. Another issue encountered was that the removal rate at the wafer edge was slower than that in the center with copper puddled above the underlying topography. It was concluded that these issues must be resolved before the low-abrasive slurry can be integrated into manufacturing. Mourier et al. [2.69] evaluated a new plating/cmp integration scheme for use with Cu/low-k structures. This scheme combined the electrodepositon of a planar copper film with a low downforce CMP step. The CMP step was based on a reverse linear or shoe shine motion combining rotative and linear motions. The process was carried out in three phases: first, the copper was electroplated while a fixed abrasive pad remained in contact with the upper areas of the deposited surface leading to planarization; then reverse polishing was performed first with, and then without pad contact. It was found that the gap fill efficiency was very good with no voids observed in the vias. However, the plating of copper was not uniform in the center of the wafer. The electroplated copper at the center of the wafer had bumps. The authors attributed this to difficulties in removing electroplating accelerators from the center during the process. In addition, the copper surface after polishing was rough with some scratches. Subsequently, a new technique known as electrochemical mechanical planarization (ECMP) has emerged as a promising alternative to conventional copper CMP. It has been

71 70 reported [2.70] that this technique is best suited for copper/low-k structures at the 65 nm device node and beyond.

72 Electrochemical Mechanical Planarization (ECMP) Introduction The limitations of conventional slurry based CMP became evident at the 65 nm node. Applying a typical process downforce (~2-3 psi) causes too much dishing and erosion, resulting in high electrical resistance across various pattern densities. Electrochemical mechanical planarization (ECMP), on the other hand, is a process in which the removal rate is directly controlled by an applied electric charge. It is a highly efficient, high removal rate process, which operates independent of downforce (< 0.5 psi). This technology uses electrolyte chemistry instead of an abrasive slurry and features a high planarization efficiency. The inherently low downforce of this approach is 5 10 times lower than conventional CMP, which in turn minimize stress and enables true low-k materials at the 65 nm device node and beyond. ECMP is also significantly less expensive and more productive than conventional CMP. The consumables cost for ECMP is around 30% less than that for conventional CMP [2.71, 2.72] The ECMP Process Economikos et al. [2.73] proposed that the ECMP process relies on the principle of current affecting the dissolution of copper atoms into a solution, which is directly related to the removal rate. As copper is removed, a Cu 2+ complex dissolves into a solution and two electrons are released as follows:

73 72 Cu Cu 2+ (complex) + 2e - Therefore, the copper removal is directly proportional to the presence of electric charge as shown in Figure Controlling the electrical charge alone cannot determine the copper profile removed from the wafer. To have a relation between endpoint and profile, a three zone counter electrode was designed to achieve precise removal on different areas of the wafer. The profile can be controlled by adjusting each zone s voltage [2.74]. The ECMP process flow is similar to the conventional multi-platen process flow. However, in the first step, bulk copper is removed by electrochemical mechanical polishing combined with precision charge endpoint and electrochemical profile control. The remaining, very thin, planar copper film and barrier layer are removed using conventional slurry based CMP process, which operate at low downforce (~0.6 psi) with high precision. An important component of the ECMP process is a mathematical model, developed to calculate the post ECMP copper profile, based on in-situ real time detected current. The thickness of incoming copper film on each wafer is automatically measured to accurately control the bulk copper removal and detect the endpoint. The entire ECMP process is controlled by an algorithm, which sets the post-profile of the desired remaining copper thickness and computes the optimal charge required for the process. This algorithm based control technique provides a tight, repeatable and reliable control of the endpoint, independent of incoming film variations. High copper removal rate in excess of 6,000 Ǻ/min is achieved with nearly no shear force being applied to the wafer. The ECMP liquid chemical delivery system mixes electrolyte chemicals as needed, and

74 73 Figure 2.16: Relation between copper removal rate and applied charge [2.73]. Figure 2.17: Schematic representation of an ECMP process

75 74 delivers them to the wafer at a low flow rate. Within the ECMP platen, the electric charge is applied to multiple independent electrochemical zones, controlling within-wafer removal rates to adjust for variations in topography [2.74]. This in turn creates a planar and uniform copper profile across the wafer surface. Copper removal is localized in areas where the pad contacts the wafer, while the recessed areas, where there is no pad contact, undergo virtually no removal, as shown in Figure This is achieved by the addition of corrosion inhibitors. A passivation mechanism, in which a layer of passive film forms almost instantly on non-contact areas, is the key to this process. The passive film must have excellent chemical stability but poor mechanical stability, so that it can be removed easily by the application of a very small downforce. In high lying areas of the wafer that contacts the pad, the passive film is removed and electrochemical dissolution of the copper occurs. At the same time, the passive film suppresses removal in the low-lying areas. The passivation layer has a much higher electrical resistance that the passivation free areas contacted by the pad, allowing electrochemical copper removal to be localized to the pad contact zone [2.75, 2.76] Topographic Control Ideally, the absence of material removal in the protected recessed areas during the ECMP process eliminates device pattern dependence, providing uniform planarization across both dense arrays and large open areas or trenches. The key effect of this pattern independence is a significant reduction of dishing and erosion. In addition, the subsequent polishing steps are rendered much easier and more uniform due to the

76 75 uniform profile of the post ECMP layer. The reduction in downforce also effectively avoids peeling and microscratches, common in conventional slurry-based CMP. Figure 2.18 compares cross-section SEM micrographs of wafers exposed to ECMP and regular CMP. However, the pattern dependence of copper ECMP has not yet been thoroughly studied and quantified. Some literature [2.71, 2.72] reports the pattern dependence to be small. However, some researchers have observed that during copper ECMP, feature depth decreases rapidly for the narrowest features and quite slowly for the widest features Line Resistance Electrical results have shown that the isolated line resistance for advanced low-k dielectric wafers polished with ECMP is ~ 130% lower than typical CMP, indicating improved erosion performance Environmental Advantages The disposal of regular CMP slurries has always been an issue due to the presence of a large amount of abrasive particulates. It usually requires expensive tools, which can concentrate the abrasives and reduce the slurry volume. The electrolyte used in the ECMP process drastically reduces disposal complexity and cost. The electrolyte chemicals can be easily neutralized and are no more difficult or expensive to dispose off than many other standard industrial chemicals [2.77].

77 76 The potential advantages of using ECMP process include: 1. Better and more selective planarization, both locally and globally on the wafer 2. Less bulk copper needs to be plated because the ECMP process is more controllable 3. Reduced risk of film delamination and damage to low-k due to lower downforce 4. Less dishing and scratching of the copper surface 5. Elimination of slurry particles 6. Precise electrochemical endpoint detection 7. low consumable costs and less waste generation

78 77. Cu Peeling CMP (3-9 psi) No Cu Peeling ECMP (< 0.5 psi) Figure 2.18: Effect of downforce on low-k (k<2.5) wafers, shows peeling at high downforce and no peeling under ECMP.

79 Pads/Electrodes for ECMP The pad/electrode structure used by Wada et al. [2.78] in their investigations is shown in Figure The electrode consists of two parts: a processing electrode (cathode) used to remove the copper film, and a feeding electrode (anode) used to supply current. Both electrodes touch the copper film on the wafer. An ion exchange material serving as a catalyst is placed on the surface of the electrode body. The ion exchange material and copper film are then brought in contact. An electrolyte is supplied to the interface of wafer/ion exchanger interface, the ion exchanger, and the interface of the electrode body/ion exchanger. The current flows from the anode, through the copper film and the electrolyte towards the cathode. The ion exchanger increases the ionic concentration of the electrolyte. Removal of copper film takes place underneath the processing electrode. Several of these electrode combinations are placed across the platen to increase the total area of the cathode. To ensure uniformity across the wafer, the electrodes and the wafer move relative to each other. Another design developed by Knodo et al. [2.79] used a three layer polishing pad made of carbon. The pad consisted of a surface carbon layer as an anode, an intermediate insulating layer, and an underlying cathode sheet. More than a hundred electro-cells, each about 5 mm thick, were fabricated within this structure as shown in Figure The insulating layer between the anode and the cathode also acted as a cushion to improve within wafer non-uniformity. A soft carbon material was used as the anode to avoid

80 Figure 2.19: Schematic of pad/electrode structure used by Wada et al. [2.78]. 79

81 Figure 2.20: Carbon polishing pad used by Kondo et al. [2.79]. [Inset: Electro-cell structure fabrication in carbon pad]. 80

82 81 damage to the copper surface. The power supply was connected at the edge of the pad. An adhesive sheet was used to stick the carbon pad onto the CMP platen. This provided the flexibility of converting a CMP system into an ECMP system by replacing the conventional polyurethane pad with the carbon one. The electrolyte for polishing was supplied through small holes in the platen. First, the electrolyte is filled in all electro-cells in the carbon pad, then flows along the channel fabricated in the insulating layer, and drains outside to the edge of the pad. This design allows the Cu 2+ ions to be carried outside the pad during ECMP and prevents the electroplating of copper on the cathode surface. If a copper film is deposited on cathode, it is easily delaminated during ECMP and often causes scratching on the wafer surface. This also reduces the ECMP cost due to longer pad life.

83 Chemistries for ECMP Wada et al. [2.78] investigated the feasibility of electrochemical polishing (ECP) of copper in de-ionized water (DI). A specially designed pad/electrode system described in Section 2.4 was used. The process involved copper removal through the electrochemical interaction of OH - ions in DI water and the surface atoms of copper film. The idea was to protect the surface of copper from contamination by electrolyte ions. In addition, the use of DI would reduce the cost, as no post-processing of the waste fluid is required. Copper removal rate was characterized as a function of pressure, current density, and relative speed between the wafer and the electrode. It was found that pressure and relative speed had virtually no effect on removal rate (~ 200 nm/min), while the rate increased linearly with current density. They concluded that, based on the appropriate setting of current density, copper removal rates as high as 800 nm/min to 1600 nm/min can be obtained. In addition, a very high degree of planarity was achieved. According to the proposed mechanism, the contact between the high lying areas of the film and the ion exchanger (Figure 2.19) increased the conductivity of DI water. This resulted in removal of the film. Alternatively, the low-lying areas were not in contact with the ion exchanger, hence the conductivity of DI water remained low. Thus, removal in low lying areas takes place very slowly. It is because of this difference that the high lying areas of the film are selectively removed, and planarity is achieved. This is schematically shown in Figure A study by Huo et al. [2.80, 2.81] examined the feasibility of copper electrochemical polishing in solutions of phosphoric acid, sulfuric acid, sodium chloride, ethylene glycol, and hydroxyethylidenediphosphonic acid (HEDP), with or without organic and inorganic

84 83 additives. Anodic polarization of copper carried out using rotating disk electrode (RDE) showed a limiting current plateau region for most of these solutions. Polishing experiments were carried out in potentiostatic mode, with potentials corresponding to the middle point of the limiting current plateau on the polarization curves. Initial polishing experiments were carried out on copper disks. The copper surface, before and after ECP, was characterized using atomic force microscopy (AFM) for surface roughness. A surface with roughness (R a ) of < 10 nm was considered smooth. The observed removal rate (R d ) and surface roughness for various chemistries are listed in Table Polishing in solutions of phosphoric acid, HEDP, and phosphoric acid containing additives such as CuO, ethylene glycol and sodium tripolyphosphate, resulted in a smooth copper surface. However, a rough surface was seen after polishing in ethylene glycol-sodium chloride, sulfuric acid and sulfuric acid-sodium nitrate solutions. Thus in the next part of the study, the polishing of patterned electroplated copper films was carried out in phosphoric acid and HEDP solutions. It was found that protruding areas on the film were not planarized in phosphoric acid while a good planarization was obtained in HEDP solutions due to formation of a salt film. A potentiodynamic polarization technique was employed by Chang et al. [2.82, 2.83] to analyze electrochemical behavior of copper electropolishing in phosphoric acid (H 3 PO 4 ) solutions. They found that the limiting current density increased as the H 3 PO 4 concentration decreased. This behavior was attributed to an increase in conductivity of electrolytes with a decrease in H 3 PO 4 concentration, thus promoting the diffusion of the

85 84 Figure 2.21: Mechanism for electropolishing of copper in DI water as proposed by Wada et al. [2.78]. Table 2.10: Summary of copper disk electropolishing data. Solution i L, ma R d, μm/min R a, nm % H 3 PO M CuO % H 3 PO % ethylene glycol % H 3 PO M Na 5 P 3 O % HEDP % H 3 PO % ethylene glycol M NaCl % H 2 SO M NaNO

86 85 dissolved metal ions into the bulk electrolyte and enhancing the limiting current. The polishing rates of electroplated copper film were 500, 1000, and 1500 nm/min for 85%, 70% and 50% H 3 PO 4 electrolytes, respectively. After polishing at 1.3 volts in 85% H 3 PO 4 electrolyte, the average roughness of the polished surface was 1.1 nm, as compared to 15.2 nm before electropolishing. Goonetilleke et al. [2.84, 2.85] studied the basic electrochemical aspects of ECMP of copper for designing appropriate voltage treatments in peroxide based glycine solution containing additives at ph 4. Corrosion parameters were measured using triangular voltage pulses defined with E min = -0.5 V, E max = 1.0 V and υ = 0.1 V/sec in four electrolytes: (1) 0.05 M KNO 3, (2) 0.05 M KNO wt% glycine, (3) 0.05 M KNO wt% H 2 O 2, and (4) 0.05 M KNO wt% H 2 O wt% glycine. Strong passivation was not observed, except that the anodic branches of peroxide containing solutions (3 and 4) showed a weak feature associated with CuO/Cu(OH) 2 formation. No effect of voltage treatment was observed on the anodic and cathodic slopes for all the four solutions. The dissolution of copper was controlled with a voltage program containing N number of triangular pulses using E min = 0.4 V, E max = 1.2 V and υ = 0.1 V/sec. N was varied between 2 and 14, which gave total voltage treatment times in the range of seconds. Both the solution ph and the mass of copper sample were measured before and after each voltage treatment. The dissolution rates were 160, 133, 28, and 215 nm/min for solutions 1, 2, 3, and 4 respectively. It was inferred that the presence of H 2 O 2 in KNO 3 electrolyte acts against voltage activated dissolution of copper by forming insulating oxide on the copper surface. This effect of H 2 O 2 is counteracted by glycine, which

87 86 chemically dissolves CuO and forms soluble copper-glycine complexes. The dissolution rate of copper in the KNO 3 -glycine-peroxide solution was similar to that obtained in only KNO 3, as well as KNO 3 containing glycine. However, the acidity of the KNO 3 solution in the absence of additives changed over time due to the decomposition of NO - 3 ions during the strong voltage treatments. This would be of particular concern in ECMP as such an increase in electrolyte ph could activate oxidation reactions and reduce the copper dissolution rate. Thus copper dissolution cannot be efficiently controlled in KNO 3 only solution, which would hinder its ECMP applicability.

88 Importance of Static Etching in ECMP Planarization can only be achieved if the low-lying areas remain intact while the higher areas are removed during polishing. This high removal rate selectivity between the higher areas and lower areas is critical for both ECMP and conventional CMP processes. In ideal chemistry and conditions, the removal of topography by planarization takes place as shown in Figure M 1 is the thickness of the metal on the inter-layer dielectric (ILD) and M 2 is the thickness of the metal in the trench. Polishing proceeds by considerable reduction of M 1, while no or very small reduction of M 2 takes place. Planarization is finally achieved when M 1 becomes equal to M 2. This is commonly seen in conventional CMP slurries containing oxidants such as peroxide, which forms a passive oxide film on the copper surface [ ]. This is not the case when oxidizers such as nitric acid or hydroxylamine are used. These chemistries dissolve copper very rapidly and in turn reduce the removal rate selectivity between high and low lying areas. The change in topography of copper while polishing in an aggressive chemistry is shown in Figure As polishing proceeds, the thickness of the metal over ILD (M 1 ) is reduced due to combined chemical and mechanical effect. The thickness of the metal (M 2 ) in the trench, which is free from pad contact, also decreases due to chemical dissolution. This phenomenon is known as static etching. On further polishing, the thickness of the metal M 2 in the trench falls below the dielectric step height, while M 1 gets reduced. Planarity cannot be achieved in this situation. Static etching is of particular concern in nitric acid and hydroxylamine chemistries where the copper etch rates are 0.9 μm/min and 85 nm/min respectively

89 88 [2.90]. Since copper is electrochemically active, static etching is also a major concern in copper ECMP. Static etching would not pose a problem if the ratio of polish rate to etch rate was high, but a high static rate can cause problems even after polishing is stopped. The leftover slurry on the wafer surface would continue to attack copper during the transfer to the next platen or to a post-cmp clean step. Thus, static etching is controlled by the addition of inhibitors, which reduce or prevent chemical dissolution by formation of a passive film on the copper surface [2.91]. Passive film formation is very critical for the success of copper ECMP, since elevations on the copper surface are in direct contact with the pad and are electrochemically planarized, while the recessed areas are protected by the passive film. This is also shown in Figure The nature and properties of passive film affects the downforce pressure required for passive film removal, the copper removal rate, and the extent of dishing. If there is no passive film formation, copper removal will be conformal all over the surface and planarity cannot be achieved. On the other hand, a thick nonporous passive film will require a large downforce, increasing the probability of damaging low-k dielectrics. The major requirements of a passive film for ECMP application are: (1) passive film must form at surface recesses, whereby the copper removal rate is nearly zero, (2) passive film must be stable at anodic potentials, and (3) the passive film must be easily removed when it does come in contact with the pad.

90 89 Static area Passive film Pad Passive film Protects copper Cu electrochemically dissolving M 1 Cu Cu M 2 ILD ILD Initial Topography Pad Planarized surface Final Topography Cu ILD Cu ILD Figure 2.22: Change in topography of copper by planarization in presence of inhibitor during ECMP process.

91 Figure 2.23: Change in topography of copper while polishing in aggressive chemistry with high static etch rate. 90

92 Inhibitors for Copper Benzotriazole (BTAH, C 6 H 5 N 3 ) is one of the most commonly used corrosion inhibitors for copper. It is widely used as an additive in copper CMP slurries [ ]. The interaction between copper and benzotriazole (BTAH) has been extensively - investigated [2.95, 2.96]. It has been reported that both BTAH and the BTA ion (C 6 H 4 N 3 ), form a chemisorbed layer on the copper as well as cuprous oxide surface. The interaction is by formation of insoluble cuprous surface complex, as shown by following reaction Cu + BTAH CuBTA + H + + e - Under certain conditions, formation of a thick, multilayered film has been demonstrated [2.97]. Chan and coworkers used surface enhanced Raman spectroscopy (SERS) to study adsorption of benzotriazole on copper in aqueous electrolytes, as a function of ph. The spectral features for BTAH and BTA - showed surface attachment via a pair of triazole nitrogen with a tilted or vertical orientation [2.98, 2.99]. Vogt et al. s [2.100, 2.101] observations using a scanning tunneling microscope (STM) showed chains and clusters of BTA molecules at low concentrations signifying incomplete surface coverage. A thick multilayer film incorporating small amounts of oxidized copper was observed with increase in BTA concentration. XPS analysis by Tamilmani [2.102] on BTA films formed in hydroxylamine solutions showed that the passive BTA film is actually a cuprous-bta complex. It has been reported that in only certain chemistries, benzotriazole is an effective corrosion inhibitor for copper [2.103]. A study by Bastidas et al. [2.104, 2.105] compared

93 92 the efficiency of benzotriazole and 2-amino-5-mercapto-1,3,4-thiadiazole (AMT) as a corrosion inhibitor for copper exposed to 5 wt% citric, sulfuric and hydrochloric acid solutions. The experimental techniques used were weight loss, DC polarization, polarization resistance, and electrochemical impedance spectroscopy. The DC polarization results showed that in citric acid solution, AMT is a cathodic inhibitor while BTA operates on anodic branch. Both AMT and BTA act as anodic inhibitors in sulfuric acid solution, but act as cathodic inhibitors in hydrochloric acid solution. Gravimetric tests showed that the inhibition efficiency of AMT was higher than that of BTA for sulfuric and hydrochloric acids. In citric acid solution, inhibition efficiencies of both BTA and AMT were similar. The use of BTA nowadays is quite limited due to environmental concerns. Otmačić et al. [2.106] investigated nontoxic imidazole derivatives as corrosion inhibitors for copper in acidic and near neutral 3% sodium chloride solutions using electrochemical polarization and weight loss techniques. The structure of various imidazole derivates along with BTA and other inhibitors is shown in Figure The results showed that compounds with higher molecular weight have better inhibiting properties. The inhibitors that do not contain a phenyl ring had a higher influence on the cathodic reaction, while those having a phenyl ring influenced the anodic reaction to a much greater extent. The best corrosion inhibitor was determined to be 4-methyl-1-phenyl imidazole. The free energy of adsorption calculations indicated that imidazole derivates physisorbed on the copper surface.

94 93 In addition to high inhibition efficiency, the corrosion inhibitor used in the electrolyte for ECMP of copper must also remain stable at high anodic potential conditions. The passive film formed due to the inhibitor must have excellent chemical stability but poor mechanical stability, so that it can be removed by low downforce. Hong et al. [2.107] demonstrated the use of ammonium dodecyl sulfate (ADS) surfactant as a corrosion inhibitor for ECMP of copper in hydrogen peroxide-glycine solutions. The inhibition efficiencies of ADS and BTA were studied in 5 wt% H 2 O 2 containing 1 wt% glycine at ph 4, using moderate to strong anodic voltage activation and potentiodynamic polarization measurements. At overpotential of 370 mv, the inhibition efficiency of ADS was 85% while that of BTA was 10%. With an increase in overpotential to 1.2 V, the inhibition efficiency of ADS decreased to 65% while that of BTA remained the same. The recorded corrosion currents (i corr ) for ADS and BTA were 66 μa/cm 2 and 290 μa/cm 2 respectively. Thus, it was concluded that ADS can serve as an effective corrosion inhibitor for ECMP of copper. The effectiveness of BTA and salicylhydroxamic acid (SHA) on copper dissolution in 0.5 M hydroxylamine solution at ph 6, was studied as a function of overpotential by Tamilmani et al. [2.102]. In the absence of inhibitors, the copper dissolution rate increased from 85 nm/min at the open circuit potential (OCP) to 240 nm/min at an overpotential of 250 mv. At approximately 250 mv, the dissolution rate decreased to 120 nm/min due to the decomposition of hydroxylamine to form nitrate (NO - 3 ) at higher overpotentials. In the presence of 0.01 M BTA and SHA, the copper dissolution rates were low (< 50 Ǻ/min) at OCP and 250 mv overpotential conditions. The dissolution rate

95 94 of copper increased to 180 nm/min for BTA and 30 nm/min for SHA at an overpotential of 750 mv. This indicates that both BTA and SHA offer very little protection to copper at higher overpotentials. Anodic polarization curves showed a rapid increase in current due to breaking of the passivation layer above 250 mv overpotential, which in turn resulted in higher dissolution rates. Removal rates of copper under abrasion conditions were also studied. At 250 mv overpotential, the copper removal rates during abrasion were 20 nm/min and 80 nm/min for 0.01 M BTA and SHA respectively. The static dissolution rates were very low for both inhibitors. With a decrease in BTA and SHA concentration to M, the removal rate increased to 200 nm/min. Unfortunately, the static dissolution rates also increased to 160 nm/min for BTA and 50 nm/min for SHA. These results indicate that, even in the presence of corrosion inhibitors like BTA and SHA, planarity cannot be achieved in 0.5 M hydroxylamine solution. This is due to the breakdown of the passive film at higher anodic overpotentials and the resulting increase in the static dissolution rate of copper in recessed areas. An inhibitor that has an inhibition efficiency of 100% is ideal for ECMP applications. The use of such an inhibitor in ECMP electrolyte would lead to zero static dissolution rate of copper in the recessed areas. An organic compound having redox properties would be the inhibitor of choice. The current work introduces a new inhibitor thiosalicylic acid (TSA) with redox properties, which shows zero static dissolution rates at high anodic overpotential conditions.

96 95 Thiosalicylic acid (TSA) a derivative of salicylic acid, has two acid dissociation constants pk a1 and pk a2 corresponding to deprotonation of the carboxyl and sulfhydryl groups. The pertinent reactions are as follows: C 7 H 6 O 2 S C 7 H 5 O 2 S - + H + pk a1 = 4.65 C 7 H 5 O 2 S C 7 H 4 O 2 S 2- + H + pk a2 = 9.40 It has been reported [2.108] that TSA complexes with copper ions depending on the solution ph. The complexation equilibria were studied spectrophotometrically. In the ph range of , the interaction of cupric ions with TSA (LH 2 ) occurs according to following equilibrium: Cu 2+ + LH 2 CuLH + + H + log k = 2.30 In the ph range of , the CuLH + species is deprotonated further as follows: CuLH + CuL + H + log k = The above two reactions can be combined and a single chelate equilibrium for ph range of , can be derived as under: Cu 2+ + LH 2 CuL + 2H + log k = Above ph 5.5, the hydrolysis of cupric-tsa complex occurs. It was thus concluded from this study that TSA formed a monoligated complex with copper(ii). The proton released as a result of complexation with copper(ii) is the sulfhydryl proton of TSA. In this dissertation, TSA was tested as corrosion inhibitor for copper ECMP applications.

97 Figure 2.24: Chemical structure of various copper corrosion inhibitors. 96

98 Oxalic Acid Based Chemistries Oxalic acid is a carboxylic bidentate complexing agent, which is commonly used in cleaning of rust out of automobile radiators and steam boilers [2.109] and the leaching of several metals and metal oxides [ ]. In aqueous solutions, oxalic acid exists in three different forms, namely, H 2 C 2 O 4, HC 2 O - 4, and C 2 O 2-4. The equilibria among these are depicted as follows: H 2 C 2 O 4 HC 2 O H + pk a1 = 1.25 HC 2 O - 4 C 2 O H + pk a2 = 4.26 The distribution of oxalic acid species as a function of ph in oxalic acid-water system is shown in Figure The speciation diagram was construed for a total oxalic acid concentration of 0.1 M. As shown in the figure, H 2 C 2 O 4 predominates at ph values below 1.25 (pk a1 ), while C 2 O 4 2- predominates at ph values above 4.26 (pk a2 ). The monoprotonated species HC 2 O - 4 dominates at intermediate ph values. Oxalic acid has been tested as a complexing agent in copper CMP slurries and in post CMP cleaning formulations [2.114]. Gorantla and coworkers [2.115] investigated the dissolution and CMP behavior of copper in mol dm -3 oxalic acid containing 5 wt% H 2 O 2 as a function of ph. At the natural ph of the system (~1.5), the dissolution rate was 30 nm/min while the polish rate was 1500 nm/min. The copper surface after dissolution experiments revealed the presence of a bluish film. The dissolution rate of copper increased with ph until ph 3.0, above which the rate decreased. The surface of the copper coupon was bright without any sign of bluish film observed at ph 1.5. The potentiodynamic polarization experiments

99 98 showed formation of a strong passivation film on the surface of copper for ph 1.5, while no such film was formed at the other ph values. The open circuit potential (OCP) measurements during polishing at ph 1.5 indicated a gradual increase in the potential when the polishing was stopped. This behavior was attributed to a gradual growth of a modified surface film on copper. When the polishing was resumed, the OCP value stabilized back at its earlier lower value prior to the stoppage of polishing. It was thus inferred that ph plays a very important role in determining the chemical interactions between oxalic acid and the copper surface. The strong complexing ability of oxalic acid makes it desirable for use in the ECMP electrolyte. The oxalic acid based electrolyte also requires the use of a corrosion inhibitor to control static dissolution rate.

100 99 Fraction [H 2 C 2 O 4 ] [HC 2 O 4 - ] [C 2 O 4 2- ] ph Figure 2.25: Speciation diagram for oxalic acid - water system.

101 100 CHAPTER 3: EXPERIMENTAL SET-UP AND MATERIALS 3.1. Theoretical Work Potential ph Diagrams Potential-pH diagrams were constructed using a commercially available software program called STABCAL [3.1]. The standard free energy of formation (ΔG 0 f) of oxalic acid, citric acid, and their respective copper complexes was obtained from the literature. However, the standard free energy values of benzotriazole (BTAH), thiosalicylic acid (TSA) and their respective copper complexes were not available in the literature. A technique known as group estimation method was used to estimate the free energy values of BTAH and TSA [3.2, 3.3]. To estimate the free energy, the chemical structure of an organic compound is first broken into its constituent functional groups. Each functional group has an assigned free energy contribution value. In this method, all the functional groups and organic compounds are represented in their ionic states in aqueous solution. For example, a carboxylic acid group ( COOH) is represented as an anion ( COO - ). The value for each functional group is added to a constant base value (also known as origin) of kcal/mol. If a particular group occurs multiple times within the chemical structure, its free energy contribution value is multiplied by number of occurrences and then added to the origin value. Using the estimated free energy value for the ligands, the free energy of formation of each copper complex was calculated using stability constant data [3.4]. The estimated free energy values of BTA, TSA and their copper complexes

102 101 are tabulated in the Table 3.1. The accuracy of this method was tested by calculating free energy values of common organic compounds and comparing the values with those in the literature. For example, the free energy of the triply charged citrate ion kj/mol and that calculated with group estimation method is kj/mol [3.5]. It can be seen that these values almost match each other. This shows that the group estimation method can produce accurate results.

103 102 Table 3.1: Free energy of formation of various ligands and their copper complexes estimated using group estimation method. Species Estimated free energy of formation ( G 0 f) (kj/mol) BTAH TSA CuBTA CuTSA

104 Experimental Methods Laboratory Scale Electrochemical Mechanical Abrasion Cell (EC-AC) A specially designed electrochemical abrasion cell was used for all the removal rate and electrochemical studies (Figure 3.1). The cross-sectional view of this research tool is schematically shown in Figure 3.2. The EC-AC tool can be viewed as an upside-down version of an industrial CMP tool. The main advantages of this inverted design are: (1) it provides flexibility of performing electrochemical measurements on electroplated copper films deposited on silicon wafers, during abrasion conditions, and (2) the chemical solutions used during experiments can be collected for further analysis. Many researchers have used a rotating disk electrode (RDE) setup pressed against a pad to simulate copper CMP and ECMP [ ]. Such a setup does not exactly simulate copper ECMP or CMP. The reason is that the RDE setup uses bulk copper samples, which may possess different electrical and physical properties than electroplated copper films. The laboratory scale EC-AC tool can be divided into two parts: (1) the top part consists of pad, stepper motor, and load cells, and (2) the bottom part consists of Teflon vessel, copper sample, and a stainless steel table with bottom stepper motor.

105 Figure 3.1: Typical setup of the laboratory scale electrochemical abrasion cell (EC-AC tool). 104

106 Figure 3.2: Cross-sectional view of the EC-AC tool. 105

107 ECMP Experiments in the EC-AC Tool A typical ECMP experiment was conducted as follows: The bottom part was first assembled by placing a circular copper metal plate (0.16 cm thick) on top of the stainless steel table, with a Teflon insulating plate between them. A diced copper plated wafer sample of size 4 cm x 4 cm was then placed on the circular copper plate. An electrical contact was established between the copper film and the bottom copper plate, by wrapping a thin piece of aluminum foil around the wafer edge. This made the copper film the working electrode. The Teflon vessel with a hole in the bottom was then placed on the copper sample. This Teflon vessel holds the electrolyte. A Viton o-ring was used as a seal between the Teflon vessel and the copper sample surface. The o-ring serves two purposes: (1) it prevents the electrolyte from leaking out of the Teflon vessel, and (2) it holds the copper sample in place during rotation. The Teflon vessel was securely fastened to the bottom stainless steel place with four screws. After the copper sample was properly assembled, the electrical continuity between the copper sample and the copper metal plate was tested by measuring resistance using a multimeter. The top part of the EC-AC tool was then assembled by attaching a small section of a pad of diameter 1.5 cm to the Teflon pad holder. The Teflon pad holder was then attached to the top stepper motor shaft using a setscrew. A Rohm and Hass IC1000 pad (with K-grooves) stacked on Suba IV was used in all the experiments. The complete assembly of the EC- AC tool occurred when the bottom part was placed underneath the top part. The bottom part of the tool fits on the slot screws of an adjustable table. The axis

108 Figure 3.3: Schematic showing the offset between the pad and the copper sample 107

109 108 of rotation of the pad and the sample was offset by adjusting the micrometer screw on the bottom table. This is schematically shown in Figure 3.3. This ensured an efficient control of the polished area on the sample. Once the pad and pad holder were in place, both the counter electrode (CE) and the reference electrode (RE) were placed in the Teflon vessel close to the sample. The connection of the potentiostat with the reference and counter electrodes was straightforward. The working electrode was connected by firmly pressing a carbon brush on the conducting copper plate on top of the stainless steel table. Care was taken to ensure that a constant electrical continuity was maintained, while the carbon brush was sliding on the conducting copper plate during rotation. After the assembly of the abrasion cell, a 100 ml of electrolyte/solution was poured into the Teflon vessel. The pad and the sample were rotated at 240 and 222 rpm, respectively, without any contact. Polishing started once the pad was lowered to contact the sample surface at a required pressure. The amount of pressure applied to the copper film during polishing was measured by a strain gauge meter connected to the load cells fastened to the pad/motor assembly. The measurable pressure range was 0 to 20 pounds per square inch (psi). Standard calibrating weights were used to calibrate the digital readout of the load cell. A calibration curve relating the applied pressure (in psi) to the digital readout on the strain gauge meter was used to apply the desired pressure to the copper film. All the polishing experiments, unless otherwise specified, were carried out at a pressure of 2 psi.

110 Static (no abrasion) Experiment in EC-AC Tool EC-AC tool was also used to perform static etching experiments without the sample being abraded. The sample was attached to the base of the polisher as described in Section Necessary electrical contacts were made for electrochemical measurements. The sample was rotated at 222 rpm and the pad at 240 rpm, without any contact with the sample. The distance between the pad and the sample was on the order of a few millimeters.

111 Electrochemical Measurements All electrochemical measurements were performed using Princeton Applied Research (PAR) potentiostat model A three-electrode setup was used for the electrochemical measurements. The working electrode was the copper film. Electrodeposited copper films of various thicknesses (800 nm, 1500 nm, and 8000 nm) on a film stack of tantalum (~200 nm) and SiO 2 on silicon wafers were used. ECMP was carried out on both blanket and patterned copper films. The blanket copper films were obtained from Freescale Semiconductor and EKC Technology, while the patterned copper films were obtained from ASM-NuTool. The counter electrode was a platinum metal plate obtained from Aldrich chemicals. The reference electrode was saturated calomel (SCE, Hg/Hg 2 Cl 2 ). All the chemicals including oxalic acid, citric acid, BTA and TSA were obtained from Alfa Aesar chemical company. Potassium hydroxide (KOH) was used to change the ph of the solution. Polishing experiments on copper films were carried out in oxalic acid solutions in the presence of BTA and TSA under potentiostatic and galvanostatic conditions. The electrochemical data, which included current vs. time and potential vs. time profiles, was recorded using PAR Model 352/252 Corrosion Analysis Software Version Potentiodynamic Polarization The potentiodynamic polarization technique is a simple and quick way to determine the extent of corrosion on metals that may take place in different chemistries and abrasion conditions. This technique involves perturbation of potential of the working electrode at a certain rate and recording the current response. Depending on the magnitude, direction,

112 111 and scan rate of the potential, this technique can be further classified into sub techniques such as anodic polarization, linear polarization, Tafel polarization, etc. The theories and steps involved in calculations are described in the following paragraphs. An electrochemical reaction representing corrosion of metal M is shown in equation (3.1). This reaction is sometimes also referred to as a charge transfer reaction. M + + e - M (3.1) The amount of current (i, Ampere) or current density (i, Ampere/cm 2 ) produced from the charge transfer reaction is given by the Bulter-Volmer equation shown in equations (3.2) and (3.3) [ ]. i = i i = anodic current density cathodic current density (3.2) a c α n F η (1 α) n F η i = i o exp exp R T R T (3.3) where, i = net or measured current density i 0 = exchange current density α = anodic transfer coefficient η = overpotential {η = E (applied potential) E eq (equilibrium potential)} n = number of electrons F = Faraday s constant (96500 C mol -1 ) R = gas constant (8.314 J mol -1 K -1 ) T = absolute temperature (K)

113 112 When the absolute value of measured current density is plotted against overpotential (η) on a semi-logarithmic scale, the resulting plot is known as a Tafel plot. A Tafel plot obtained for i 0 = 10-5 A/cm 2, n = 1, α = 0.5 and T = 298 o K is shown in Figure 3.4. If the overpotential (η) is larger than ± 50 mv, a linear relationship known as Tafel relationship is established between η and log (i), which is shown in equations (3.4) and (3.5) [3.15, 3.16]. Anodic : η a = β a log i i o RT, where β a = α n F i RT Cathodic : ηc = β c log, where β c = i0 (1 α) n F (3.4) (3.5) The terms β a and β c are the anodic and cathodic Tafel slopes while η a and η c are anodic and cathodic overpotentials. In Figure 3.4, anodic and cathodic portions of the Tafel curve are symmetric because of transfer coefficient (α) = 0.5. If α deviates from 0.5, the slope of the anodic and cathodic curves will change depending on equations (3.4) and (3.5). Larger values of α will decrease the anodic slope and increase the cathodic slope. In a typical corrosion system, conditions are far from ideal and the Tafel plot rarely resembles Figure 3.4. This is because the anodic and cathodic reactions may not be the same. For example, Figure 3.5 shows the corrosion behavior of zinc immersed in an acid solution. The anodic and cathodic reactions for this system are shown in equations (3.6) and (3.7) respectively. Anodic: Zn Zn e - (3.6) Cathodic: 2H + + 2e - H 2 (3.7)

114 113 Figure 3.4: Tafel plot for simple system shown Tafel relationships and Tafel slopes [3.17].

115 Figure 3.5: Tafel plot of mixed electrode system of hydrogen and zinc electrodes [3.17]. 114

116 115 The anodic reaction is the dissolution or corrosion of zinc metal and the cathodic reaction is the evolution of hydrogen. The potential at which the H + /H 2 cathodic curve intersects the Zn 2+ /Zn anodic curve is known as the corrosion potential (E corr ). At the corrosion potential, both the anodic and cathodic current densities are equal. The corresponding current density at this potential is known as the corrosion current density (i corr ). Figure 3.5 is also referred to as a mixed potential plot. The value of E corr can be directly measured from the experiments, but the value of i corr is calculated from the Tafel slopes. The Tafel relationship of equation (3.4) can be used to calculate the anodic and cathodic current densities (i a & i c ) generated due to perturbation as shown in following equations. ia i corr i a Δ η = βa log βa log = βa log i i i o( Zn 2+ /Zn) o( Zn 2+ /Zn corr ) (3.8) ia Δη 10 β corr a = i and ic 10 Δη β corr c = i (3.9) The net current i applied is then, Δ η η η η β Δ a β Δ c β Δ a βc applied = a c = corr10 corr10 = corr i i i i i i (3.10) Using the Maclaurin series, the i applied of equation (3.10) can be approximated by equation (3.12) Δ η Δη 1 1 iapplied = icorr 1+ 1 = icorr Δ η + βa β c βa βc (3.11)

117 116 i corr 1 iapplied 1 1 iapplied ββ a c = + = Δ η β β Δ η β + β a c a c (3.12) Equation (3.12) is known as the Stern-Geary equation. Small perturbation from equilibrium (± 10 mv) can be approximated by assuming the current-potential relationship as a linear function. The inverse of the slope of the linear fit is known as the polarization resistance (R p ). Substituting the term i applied / η in equation (3.12) by 1/R p, the Stern-Geary equation becomes i corr 1 ββ a c = R P βa + βc (3.13) Once the values of R p, β a and β c are obtained from the polarization plot, the corrosion current density (i corr ) can be calculated using equation (3.13). The amount of material removal can than be estimated from the corrosion current density using Faraday s Law given by equation (3.14). Film removal rate nm icorr Molecular Weight 60 s nm = min n F ρ M ( density) min 10 cm, 7 (3.14) Anodic Polarization In an ECMP process, the copper film is anodically biased while polishing. Thus, the behavior of copper under anodic potential conditions is of more interest than at cathodic potential. Planarity in ECMP process is achieved by passivation of the copper film in the

118 117 recessed areas, while higher areas are being polished. Passivation is characterized by a sudden decrease in current at a particular potential (also known as the passivation potential), during anodic perturbation of potential of the working electrode. Formation of an oxide layer or adsorption of species on the copper surface exposed to solution leads to a decrease in current. Anodic polarization was used to study the passiviation behavior of copper in various chemistries. The chemical constituents of the tested chemistries include complexing agents (oxalic acid) and inhibitors (BTA and TSA). A three-electrode setup was used. The working electrode (copper film) was polarized to anodic overpotentials up to 1.2 V with respect to OCP or E corr. The potential was scanned at a rate of 0.1 mv/s or 0.5 mv/s Potentiostatic Experiments A major part of the study reported here was done under potentiostatic conditions. Potentiostatic experiments were carried out by holding the working electrode at different anodic potentials and monitoring the current response with time. Abrasion experiments were carried out by holding copper samples exposed to oxalic acid solution containing inhibitors at different anodic overpotentials (300 mv, 500 mv and 750 mv) to simulate ECMP conditions. The current response was used to estimate the electrochemical removal rate using equation (3.14). Static etching (no abrasion) experiments were carried out in a similar fashion.

119 Galvanostatic Experiments In the galvanostatic mode, a fixed current is forced through the working electrode and counter electrode and the potential difference between the working and reference electrode is monitored with time. Constant currents (2 ma, 9 ma and 12 ma) were applied to copper samples during polishing. The physical removal rate was then compared to the electrochemical removal rate calculated from the applied current using equation (3.14).

120 Cyclic Voltammetry Voltammetry is a potential sweep technique that measures the current with applied potential. This technique is similar to potentiodynamic techniques, except that the overall kinetic processes involve charge transfer reactions as well as the mass transport of these electroactive species [3.17]. In cyclic voltammtery, the potential of an electrode in solution is linearly cycled at a fixed scan rate (v), from a starting potential (V 1 ) to the final potential (V 2 ) and back to the starting potential (V 1 ) as shown in Figure 3.6. In essence, as the potential of the working electrode is made to move in the anodic direction, there arises a potential at which the current starts to increase, passes through a maximum (the peak), and decreases. As the potential is ramped back from the anodic side towards the cathodic, the sign of the current tends to become reversed (i.e. negative) and the cathodic to anodic sweep is replicated [3.18]. A typical cyclic voltammogram recorded for a reversible single electron transfer reaction is shown in Figure 3.7. In the figure, E a P and c E P are the anodic and cathodic peak potentials and i a P respectively. and c ip are the anodic and cathodic peak currents The principal characteristic of the potential sweep is the peak. The processes corresponding to each peak are specific to the reaction encountered. They can be explained in terms of the effect of potential on the electron transfer (Faradaic) current, i F, and of time on the value of limiting current i L. The total current (i) is given by, i i i F L = (3.15) F i + i L

121 120 Figure 3.6: Schematic of potential sweep during cyclic voltammtery. Figure 3.7: Cyclic voltammogram for a reversible single electron transfer reaction.

122 121 The voltammogram recorded for a reversible electrochemical reaction has certain welldefined characteristics. i) The voltage separation between the current peaks is given by a c 59 Δ E = EP EP = ( mv ) (3.16) n where n is number of electrons involved in the reaction. ii) The position of the peak potential does not alter as a function of scan rate. iii) The ratio of peak currents is equal to one. i i a P c p = 1 (3.17) iv) The peak currents are proportional to square root of the scan rate. a c ip and ip v (3.18) The influence of the potential scan rate on the current for a reversible electron transfer can be seen in Figure 3.8. Each curve has the same form but it is apparent that the total current increases with increasing scan rate. This can be rationalized by considering the size of the diffusion layer and the time taken to record the scan. In a slow potential scan, the diffusion layer will grow much further in comparison to a fast scan. Consequently, the flux to the electrode surface is considerably smaller at slow scan rates than it is at faster rates. As the current is proportional to the flux towards the electrode, the magnitude of the current will be lower at slow scan rates and higher at high rates. It can be noted that even though the current increases with scan rate, the peak occurs at the same potential.

123 122 The voltammogram for reaction where the electron transfer is not reversible shows considerably different behavior. Such a voltammogram is displayed in Figure 3.9. The first curve shows the case where both the oxidation and reduction rate constants are still fast; however, as the rate constants are lowered the curves shift to more reductive potentials. In these cases, the peak separation is no longer fixed as given by equation (3.16), but varies as a function of the scan rate. Similarly, the peak current no longer varies as a function of the square root of the scan rate. Cyclic voltammtery experiments were carried out to characterize the oxidation potential of thiosalicylic acid (TSA). A three-electrode setup was used. Platinum plates were used as working and counter electrodes and a standard calomel (SCE, Hg/Hg 2 Cl 2 ) with a luggin capillary was used as reference electrode. Oxidation of oxalic acid and TSA was studied by scanning the potential from OCP to 1.2 V with respect to OCP and then reversing back to OCP. The scan rate varied from 5 mv/sec for TSA to 50 mv/sec for oxalic acid. To prevent oxidation of TSA, the solution was initially purged with nitrogen to remove dissolved oxygen and the experiment was carried out with a nitrogen blanket. The sample and the solution were not disturbed (stirred or rotated) during the experiments.

124 123 Increasing scan rate Figure 3.8: Influence of potential scan rate on voltammogram of a reversible reaction. Figure 3.9: Cyclic voltammogram for an irreversible reaction.

125 Quartz Crystal Microbalance (QCM) A quartz crystal microbalance (QCM) was used to study kinetics of inhibitor adsorption on the copper surface. It consists of a thin disk of AT-cut quartz crystal with circular gold electrodes plated on both sides, as shown in Figure Typically, one side of the electrode is exposed to the chemistry of interest and the other side serves as electrode contact. Any adsorption of species or removal of material on the sensing side of the crystal causes a shift in the oscillation frequency. This change in crystal frequency ( f) can be related to mass change ( m) on the piezoelectrically active area (A) using the Sauerbrey equation given in equation (3.19) [3.19]. 2 2 Δm ( f Δ f = 0) (3.19) n A μρ where, f 0 is the fundamental frequency of the crystal, n is the order of harmonic, μ is the shear modulus of quartz (2.947 x g cm -1 s -2 ), ρ is the density of quartz. All the QCM experiments were carried out using a MAXTEK Research QCM. According to the manufacturer, the mass resolution for this RQCM is roughly 0.4 ng/cm 2. A 5 MHz quartz crystal with gold film was used. The exposed area of the front electrode was 1.37 cm 2. The QCM was interfaced with an EG&G PARC 273A potentiostat such that electrochemical measurements could be made simultaneously. The experimental setup is shown in Figure Copper was electroplated on the gold surface of the quartz crystal using a commercially available plating bath (Shipley ST2001) with special additives. Plating was done under galvanostatic mode at a current density of 2 ma/cm 2. The electroplated copper on the crystal was made the working electrode, while platinum

126 125 plate was used as the counter electrode and standard calomel (SCE) was used as the reference electrode. The freshly deposited copper film (~2 μm thickness) was rinsed thoroughly in de-ionized water, dried using nitrogen and immediately used in experiments. Copper was freshly deposited for each investigation, and all dissolution experiments were performed in a beaker containing 150 ml of solution at room temperature with constant stirring. After each dissolution experiment, the remaining copper film was etched in a concentrated nitric acid (16M) solution. The crystal was then reused for depositing a fresh copper film. Adsorption of inhibitors on copper surface was studied by holding copper deposited crystal at different anodic overpotentials and monitoring mass change with time. Cyclic voltammetry of TSA on copper was carried out simultaneously while recording mass change with QCM. For voltammetry experiments, the solution was not stirred and mass change was recorded under nitrogen atmosphere. The other voltammetry parameters such as scan rate, initial and final potentials were the same as discussed in Section 3.4.

127 Figure 3.10: Schematic of the front and rear side of the gold coated quartz crystals [3.19]. 126

128 Figure 3.11: Schematic of the QCM interfaced with a potentiostat to study the mass change of the sample with simultaneous electrochemical measurements [3.19]. 127

129 Chemical and Physical Analysis Atomic Absorption Spectrophotometry (AAS) The solutions used in ECMP experiments were collected for analysis of dissolved copper. Atomic absorption spectrophotometry was used to measure the concentration of copper in the solutions. The measurements were carried out using a Perkin-Elmer Model 2380 Atomic absorption spectrophotometer using a hollow cathode lamp with a wavelength (λ) of nm. Before analyzing the unknown samples, a linear calibration curve was obtained by measuring the absorption of solutions with five known copper concentrations (0.1, 0.5, 1.0, 3.0, and 5.0 ppm). These standards were prepared using a 1000 ppm AA copper standard solution purchased from Aldrich Chemicals. The linear working range of copper was 0.1 to 5.0 ppm. All calibration curves established prior to analysis had a coefficient of determination (R 2 ) of The samples collected after ECMP experiments were acidified by adding 4 ml of 16 M concentrated nitric acid to adjust the solution ph to < 1. In case of samples that contained abrasive particles, the particles were allowed to settle and then centrifuged at 5000 rpm for 2 hours. This led to complete removal of particles from the solutions. Samples with copper concentration over 5.0 ppm limit were diluted with de-ionized water and the absorption was then measured.

130 Surface Profile Measurements After polishing, the removal rate of the copper film was measured using profilometry. The surface profile was measured using Tencor Alpha Step 200 Long Scan Profiler. The instrument used a tungsten carbide tip with a radius of 5.0 μm and a shank angle of 60 o. The minimum horizontal resolution of this profiler was 0.01 μm/point and vertical resolution was 0.5 nm. A schematic diagram of a surface profiler is shown in Figure In this technique, a sharp stylus is dragged across the surface features. Changes in the surface feature cause the stylus to move in the vertical direction. A piezoelectric material, attached to the stylus assembly, converts the vertical movement of the stylus to electrical signals, which are then translated back into vertical distances as the output data. In the case of blanket copper films, the abraded sample was initially coated with resist leaving a small strip of copper film exposed as shown in Figure The resist was allowed to harden for a day. The exposed copper film was etched using dilute nitric acid to expose the underlying silicon substrate. Resist film from other areas was removed using acetone. The step height of the remaining copper film in the abraded area was measured with respect to the silicon surface. The step height of un-abraded copper film (blanket) was also measured in a similar fashion. The copper removal rate was calculated by dividing the difference between these two step heights (SH) by the polishing time as shown in equation (3.20). SH blanket film SH abraded area Re moval Rate ( A/ min) = (3.20) Polishing Time

131 130 In order to estimate the copper removal rate accurately, the step height was measured at approximately ten points in the abraded area and approximately four points on the unabraded film.

132 Figure 3.12: Schematic diagram of a Alpha Step 200 surface profiler. 131

133 Figure 3.13: Preparation of abraded sample for step height measurement using profilometery. 132

134 Four Point Probe The thickness of copper film after abrasion was also characterized using a four-point probe technique. The four-point probe technique measures the sheet resistance of thin films, which can be used to calculate film thickness. All measurements were carried out using a Mitsubishi LORESTA AP Super-Intelligent Resistivity Meter model MCP T400, with a probe spacing of 1.5 mm. The instrument can measure resistance in the range of x 10-2 Ω to 1.99 x 10 7 Ω. The four-point probe set up consists of four equally spaced tungsten metal tips having a finite radius. As shown in Figure 3.14, these tips are brought in contact with the surface of the sample to be measured. Each tip is supported by springs on the other end to minimize sample damage during probing. A high impedance current source is used to supply current through the outer two probes, while a voltmeter measures the voltage across the inner two probes to determine the sample resistivity [3.20]. If probes with uniform spacing s are placed on a film with thickness t, then the resistivity, ρ, is given by V ρ = 2πs μω cm ( t >> s) (3.21) I and π t V ρ = Ω cm ( s >> t) ln 2 μ (3.22) I Equation (3.21) can be used to calculate the resistivity of a bulk material while equation (3.22) can be applied to thin films. The sheet resistance of a thin film can be calculated based on equation (3.23).

135 134 ρ π V V R s = = = t ln 2 I I (3.23) It is important to note that R s is independent of any geometrical dimension and is therefore a function of the material alone. This can be demonstrated by considering the resistance of a rectangular sample given by equation (3.24). l R = ρ (3.24) w t For a square sample, l = w and hence equation (3.24) becomes R = ρ = t R s (3.25) Therefore, R s can be treated as resistance of a square sample, and for this reason the units of R s are taken to be Ω/ (ohms-per-square). To ensure proper working of the instrument, sheet resistance of a standard sample was checked before doing measurements on copper samples. The standard sample consisted of an ITO film deposited on glass and had a sheet resistance value of 12 Ω/. For copper samples, sheet resistance was measured at about 10 points in the abraded area and about two to three points in the un-abraded (blanket) area. Film thickness (in nm) was calculated by dividing the bulk resistivity of copper (2.1 μω-cm) by the sheet resistance.

136 Figure 3.14: Schematic representation of a four point probe technique. 135

137 X-ray Photoelectron Spectroscopy (XPS) The interaction between the corrosion inhibitor and copper surface was characterized using X-ray Photoelectron Spectroscopy (XPS). TSA films formed on the copper surface were analyzed using KRATOS Axis 165 Ultra X-ray Photoelectron Spectrometer. XPS analysis was carried out on two films: (1) film formed under OCP conditions, and (2) film formed at the oxidation potential of TSA. Initially, TSA was dissolved in ethanol solution and the solution was purged with nitrogen for about 30 minutes to remove any dissolved oxygen. Copper films were then immersed in the solution covered with nitrogen blanket. Samples were removed from the solution just before XPS analysis, and were immediately transferred to a vacuum chamber. This methodology prevented oxidation of TSA. To analyze oxidized TSA film, the copper sample exposed to ethanol solution containing TSA was polarized at overpotential of 800 mv for 5 minutes under a nitrogen atmosphere. After polarization, the sample was immediately transferred to a nitrogen purged alcohol solution and was removed just before the XPS analysis. The oxidation state of copper on the surface and the presence of any organic were determined. The atomic ratio of the elements detected was calculated from the analysis of XPS peak area ph Measurements All ph measurements were obtained using the Orion Model 1230 meter. The electrode was a glass sensing single junction combination ph probe with a built in Ag/AgCl

138 137 reference electrode. The meter and probe were purchased from Thermo Orion and were calibrated using freshly prepared buffer solutions on a regular basis.

139 138 CHAPTER 4: RESULTS AND DISCUSSION 4.1. Potential-pH Diagrams Copper Oxalic Acid Water System The effect of oxalic acid on stability of copper was analyzed through construction of Pourbaix diagram for Cu-Oxalic Acid-H 2 O system. Figure 4.1 shows the potential-ph plot for an aqueous system with a dissolved copper concentration of 10-6 M. The plot was constructed for two oxalic acid concentrations, viz. 0.1 M and 0.3 M. The presence of oxalate ions reduces the stability region of the copper oxides. It may be seen that only Cu 2+ forms complexes with oxalic acid. For oxalic acid concentration of 0.1 M, the doubly charged anionic complex [Cu(C 2 O 4 ) 2-2 ] occupies the major portion of the stability region (ph 1-11), while the neutral complex [Cu(C 2 O 4 )] is predominant at an acidic ph values of less than 1. At alkaline ph values, the formation of cupric oxide and its dissolution in the form of anionic hydroxide species is thermodynamically favorable. Increasing oxalic acid concentration to 0.3 M results in increased stability of anionic copper oxalate complex, and decreased stability of the neutral complex. In ECMP, copper is anodically biased during polishing. It is therefore important to understand the stability of copper at anodic overpotential conditions. The stars indicate the overpotential (η) values for copper exposed to 0.1 M oxalic acid solution at ph 4. It is interesting to note that the star corresponding to open circuit potential (30 mv vs. SHE, η = 0) falls very close to the copper stability region. This indicates that dissolution of

140 139 copper by complex formation is thermodynamically favorable. Thus, polishing under OCP conditions would lead to dissolution of copper with lower removal rates. The stars corresponding to overpotential values of 300 mv, 500 mv and 750 mv occur in the stability region of anionic copper oxalate complex. Thus, application of potential is likely to enhance copper dissolution, which would lead to higher removal rates during polishing. It may be concluded from these plots that the presence of oxalic acid in the electrolyte would favor copper dissolution through formation of complexes at η 300 mv.

141 140 E vs. SHE (V) Cu(C 2 O 4 ) (a) Cu(C 2 O 4 ) 2 2- CuO Cu(OH) 3 - Cu 2 O Cu(OH) Cu Cu = 10-6 M, Oxalic Acid = 0.3 M Cu = 10-6 M, Oxalic Acid = 0.1 M ph Figure 4.1: Potential-pH diagram for copper-oxalic acid-water system for dissolved copper activity of 10-6 M. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph 4.

142 Copper Oxalic Acid BTA Water System As discussed in the last section, under anodic overpotential conditions, copper exposed to oxalic acid solution would dissolve rapidly by complex formation. However, this would also lead to high static dissolution (etch) rate of copper in low-lying areas, which is not acceptable. It may be recalled that planarity in ECMP can only be achieved by reducing copper dissolution in low-lying areas. One way to reduce copper dissolution is to add an inhibitor that forms a passive film on the copper surface. This passive film would protect copper in the low-lying areas while the film formed on higher areas would be removed by pad contact. Corrosion inhibitors are often added for controlling the static etch rate. One of the most common corrosion inhibitors for copper is benzotriazole (BTA). The effectiveness of BTA in inhibiting copper dissolution in oxalic acid solution was analyzed by construction of a Pourbaix diagram for copper-oxalic acid-bta-h 2 O system. Figure 4.2(a) shows the potential-ph plot for two oxalic acid concentrations of 0.1 M and 0.3 M, at a fixed dissolved copper concentration of 10-6 M and BTA concentration to M. It may be seen that the resulting plots for both the oxalic acid concentrations are very similar to that in Figure 4.1. The stability regions of anionic and neutral oxalate complex remain the same. There is no change in the width of these regions. However, a small region corresponding to formation of solid copper-bta complex [Cu(C 6 H 4 N 3 )] is seen between ph 7 and 12. The solid complex can form a passive film on the copper surface and inhibit dissolution. It is important to note that this complex is stable only in the potential range of -240 mv to 25 mv vs. SHE. Thus, under oxidizing conditions (E > 25

143 142 mv), the copper-bta complex is likely to dissolve to form anionic copper oxalate complex. The effect of oxalic acid concentration on the stability region of various species is very small. The stability of copper-bta complex at anodic overpotentials can be evaluated by overlaying the overpotential values on the potential-ph plot. The open circuit potential of copper exposed to 0.1 M oxalic acid solution containing M BTA is 210 mv vs. SHE. It is interesting to note that at ph 4, all the overpotential values fall in the stability region of anionic oxalate complex. This indicates that dissolution of copper by complex formation is likely to occur. It is also clear from the figure that at ph 4, under anodic overpotential conditions, BTA is not likely to be effective in inhibiting copper dissolution. The effect of increasing the BTA concentration to 0.01 M is displayed in Figure 4.2(b). Again, the similarity between this plot and Figure 4.2(a) can be easily noticed as far as copper-oxalic acid complexes are concerned. There is no change in the stability region of aqueous oxalate complexes. However, an increase in stability of copper-bta complex is observed. The stability region of copper-bta complex occurs in the ph range 6 to 12.5 for 0.01 M BTA, while for M BTA, the complex is dominant in the ph range 7 to 12. It is also clear that under an anodic overpotential condition, an increase in BTA concentration is not likely to be effective in inhibiting copper dissolution. It can be concluded from these plots that even in presence of BTA, copper dissolution in oxalic acid solution is likely to occur at all overpotentials.

144 143 (a) E vs. SHE (V) Cu(C 2 O 4 ) (a) Cu(C 2 O 4 ) 2 2- Cu(C 6 H 4 N 3 ) (s) CuO - Cu(OH) 3 2- Cu(OH) 4 Cu 2 O -1.0 Cu Cu = 10-6 M, BTA = M, Oxalic Acid = 0.3 M Cu = 10-6 M, BTA = M, Oxalic Acid = 0.1 M ph (b) 2.0 E vs. SHE (V) Cu(C 2 O 4 ) (a) Cu(C 2 O 4 ) 2 2- Cu(C 6 H 4 N 3 ) (s) CuO Cu(OH) 3 - Cu 2 O Cu(OH) Cu Cu = 10-6 M, BTA = 0.01 M, Oxalic Acid = 0.3 M Cu = 10-6 M, BTA = 0.01 M, Oxalic Acid = 0.1 M ph Figure 4.2: Potential-pH diagram for copper-oxalic acid-bta-water system: (a) BTA concentration of M, and (b) 0.01 M BTA. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph 4.

145 Copper Oxalic Acid TSA Water System The effectiveness of TSA in inhibiting copper dissolution was analyzed by construction of a Pourbaix diagram for a copper-oxalic acid-tsa-h 2 O system. It must be noted that if oxalic acid and TSA species are considered together in a single calculation, the resulting diagram does not show the copper-tsa complex region. The stability region of anionic copper oxalate complex shields the copper-tsa stability region. Thus, two separate diagrams were constructed. The first diagram was of a copper-oxalic acid-h 2 O system for which copper concentration was fixed at 10-6 M and that of oxalic acid at 0.1 M, and the second diagram constructed was of a copper-tsa-h 2 O system with copper concentration fixed at 10-3 M and TSA at 0.01 M. Both the diagrams were overlaid and the resulting diagram is displayed in Figure 4.3. Since the potential-ph plot for copper-oxalic acid-h 2 O system is similar to that shown in Figure 4.1(b), it is not discussed here. The plot for the copper-tsa-h 2 O system shows some interesting features. It may be seen that copper forms a neutral complex with TSA in the ph range of 3.6 to 6.5. The formation of the neutral complex, if solid in nature, on the copper surface would inhibit copper dissolution. In addition, copper would actively dissolve as Cu 2+ ions below ph 3.6. Above ph of 6.5, formation of both cupric and cuprous oxides is thermodynamically favorable in the absence of oxalic acid. It is clear from Figure 4.3 that when both diagrams are overlapped, the stability region of the copper-tsa overlaps the anionic oxalate complex region. Thus, when TSA is added to oxalic acid solution with ph between 3.6 and 6.5, depending on reaction kinetics, the formation of copper-tsa complex is most likely favorable. The resulting copper-tsa

146 145 passive film would inhibit formation of a copper oxalate complex, which in turn is likely to reduce copper dissolution. It is also evident that the copper-tsa complex is stable under highly oxidizing conditions. The open circuit potential of copper exposed to solution containing 0.1 M oxalic acid and 0.01 M TSA is -12 mv vs. SHE. It may also be noted that the overpotential values of 500 mv and 750 mv fall in the neutral complex region. This indicates that polishing at high anodic overpotential conditions is feasible with the passive TSA film protecting the recessed areas. Therefore, it can be concluded from this plot that unlike BTA, oxalic acid along with TSA as inhibitior is an effective chemistry for ECMP of copper.

147 E vs. SHE (V) Cu(C 2 O 4 ) (a) Cu 2+ Cu(C 7 H 4 O 2 S) CuO 2- Cu(C 2 O 4 ) 2 Cu 2 O CuO Cu 2 O Cu(OH) 3 - Cu(OH) Cu Cu Cu = 10-3 M, TSA = 0.01 M Cu = 10-6 M, Oxalic Acid = 0.1 M ph Figure 4.3: Potential-pH diagram of copper-tsa-water system overlapped on copperoxalic acid-water system. Note: indicate different overpotential values (0, 300 mv, 500 mv and 750 mv) for copper exposed to 0.1 M oxalic acid at ph 4.

148 Anodic Dissolution of Copper in Oxalic Acid Solutions Etch Rate of Copper in Oxalic Acid Solution at Different Applied Potentials Preliminary investigations were carried out to characterize the static etch rate of copper in oxalic acid solutions to evaluate suitability as an electrolyte for ECMP. Copper samples were exposed to oxalic acid solutions at different anodic overpotentials and current-time profiles were recorded. Experiments were carried out in EC-AC tool (without abrasion) at three different overpotentials of 300 mv, 500 mv, and 750 mv and in four different oxalic acid concentrations, namely 0.01 M, 0.1 M, 0.3 M, and 0.5 M. All the solutions were maintained at ph 4. Static etch rates obtained by solution analysis using atomic absorption spectrophotometry (AAS) are displayed in Figure 4.4. Estimated rates obtained using current-time profiles are shown in Figure 4.5. The dissolution (etching) time was varied depending on the measured current value. It may be seen from Figure 4.4 that, except for 0.01 M oxalic acid, the static etch rate increases significantly with both oxalic acid concentration and overpotential. In 0.01 M oxalic acid solution, there is no significant effect of overpotential on the etch rate. For example, the rate increases from 12 nm/min at overpotential of 300 mv to 19 nm/min for overpotential of 750 mv. However, at overpotential of 750 mv, a ten-fold increase in oxalic acid concentration (0.01 M to 0.1 M) increases the static rate by eight fold (19 nm/min to 164 nm/min). In addition, the rate in 0.5 M oxalic acid solution seems to be more than twice of that in 0.3 M oxalic acid solution. The highest static rate of 657 nm/min was observed in 0.5 M oxalic acid solution at overpotential of 750 mv.

149 148 The measured current density values are tabulated in Table 4.1. Using the current density values, the electrochemical rates were estimated based on a two-electron transfer. It may be seen that the electrochemical rates also show a similar behavior with oxalic acid concentration and overpotential. However, except for concentrations 0.3 M, the electrochemical rates are slightly lower (~10-20 nm/min) than the actual dissolution rates. At concentrations 0.3 M, the electrochemical rates seem to be slightly higher than the actual dissolution rates. This may be due to some side reactions occurring at higher oxalic acid concentrations, which can contribute to an increase in measured current. A comparison of actual and electrochemical rates is shown in Table 4.2. If the electrochemical rates were estimated based on a one-electron transfer, the calculated values were almost twice of the actual rates measured by AAS. It can be concluded from these experiments that oxalic acid chemistry is a good chemistry for ECMP applications.

150 149 Static Etch Rate (nm/min) η = 300 mv η = 500 mv η = 750 mv Concentration of Oxalic Acid (M) Figure 4.4: Static etch rate of copper in oxalic acid solution as a function of concentration and overpotential. Table 4.1: Measured current densities as a function of oxalic acid concentration and overpotential. Concentration of Measured current density (ma/cm 2 ) oxalic acid (M) η = 300 mv η = 500 mv η = 750 mv

151 150 Estimated Static Rate (nm/min) η = 300 mv η = 500 mv η = 750 mv Concentration of Oxalic Acid (M) Figure 4.5: Estimated static rate of copper in oxalic acid solution as a function of concentration and overpotential (calculated from current density values). Table 4.2: Comparison of actual and estimated dissolution rate of copper Concentration of oxalic acid Static etch rate of copper (nm/min) η = 300 mv η = 500 mv η = 750 mv (M) Actual Estimated Actual Estimated Actual Estimated

152 Identification of Inhibitors The effectiveness of benzotriazole (BTA) and thiosalicylic acid (TSA) was initially tested in 0.1 M oxalic acid solutions adjusted to a ph of 4. Figure 4.6 shows the Tafel polarization curves for copper exposed to oxalic acid solutions containing BTA and TSA. The polarization experiments were carried out in the absence of particles using the EC- AC tool (without abrasion). For comparison purposes, the polarization curve of copper exposed to 0.1 M oxalic acid solution in the absence of any additives is also shown. It may be seen that in the absence of any additives, at potential of 500 mv with respect to OCP, the measured current density is ~ 7800 μa/cm 2. The measured open circuit potential (OCP) is 30 mv vs. SHE. From the polarization curves, it is evident that for potentials below 610 mv (η = 400 mv), the presence of BTA decreases the anodic current density of copper. The presence of M BTA in solution also increases the OCP of copper to 210 mv vs. SHE. At 400 mv overpotential, a sharp increase in current density from 60 μa/cm 2 to 2000 μa/cm 2 is observed. Above 400 mv overpotential, the anodic curve becomes similar to that obtained without additives. A highest current density value of 8000 μa/cm 2 was recorded at 750 mv overpotential. Thus, it can be concluded that effectiveness of BTA as a corrosion inhibitor for copper in 0.1 M oxalic acid solution is limited to overpotential values below 400 mv. It is clear from the polarization curve for thiosalicylic acid (TSA) that copper passivates in presence of TSA. Initially, the current increases with potential, until a potential of ~ 360 mv (η = 370 mv) is reached, after which the current starts to decrease. An almost two-decades of decrease (as compared to oxalic acid without additives) in

153 152 anodic current density of copper occurs upon addition of TSA, at a potential of 360 mv vs. SHE. There is a striking difference in the anodic polarization curves for copper in solutions containing BTA and TSA. It may be noted that unlike in the case of BTA, the current stays at a lower value with further increase in potential. Below 370 mv overpotential, the polarization curve closely follows the curve obtained for 0.1 M oxalic in absence of additives. In addition, the presence of TSA also decreases the OCP of copper from 30 mv vs. SHE (without TSA) to -10 mv vs. SHE (with 0.01 M TSA). Thus, it can be inferred from these results that TSA, by forming a passive film on the copper surface, can reduce static copper dissolution at higher overpotential conditions. Table 4.3 lists the open circuit potentials and Tafel slopes (anodic slope: β a and cathodic slope: β c ) of copper in 0.1 M oxalic acid solution at ph 4, in the presence and absence of BTA and TSA. Table 4.3: Open circuit potentials and Tafel parameters of copper in 0.1 M oxalic acid solution (ph 4) in the presence and absence of additives. Chemistry OCP vs. SHE (V) β a (V) β c (V) No additive M BTA M TSA

154 Passivation 0.6 E vs. SHE (V) M Oxalic M BTA 0.1 M Oxalic 0.1 M Oxalic M TSA E-9 1E-8 1E-7 1E-6 1E-5 1E-4 1E log i (A/cm 2 ) Figure 4.6: Tafel polarization of copper in 0.1 M oxalic acid solution (ph 4) in presence and absence of BTA and TSA.

155 ECMP of Copper in the Presence of Abrasive Particles Removal Rates of Copper during Abrasion in Oxalic Acid Solution Need for Inhibitors All the experiments in the presence of particles (SiO 2, 1% by weight), unless otherwise stated, were carried out in 0.1 M oxalic acid solution (ph 4). The size of silica particles used in this study was 80 nm. Removal rates of copper under abrasion condition were obtained using EC-AC tool. Experiments were carried out in two oxalic acid solutions viz M and 0.1 M, by holding copper at a potential of 500 mv with respect to OCP. Both the solutions were maintained at ph 4, and the applied pressure was held constant at 2 psi. The removal rates measured by profilometry are shown in Figure 4.7. In 0.01M oxalic acid solution both the static etch rate and polishing rate were low (18 nm/min and 26 nm/min respectively). In 0.1M oxalic acid solution, the removal rate during polishing increased to 117 nm/min, but the static removal rate was also very high, of the order of 110 nm/min. Polishing of copper surfaces with topography, using 0.1 M oxalic acid solution, would result in static etching of the recessed areas at a rate of 110 nm/min, while the high areas are polished at 117 nm/min. Thus in oxalic acid chemistry, the low-lying areas may be expected to be removed at the same rate as high areas. This clearly shows that inhibitors are required to reduce the static etch rate.

156 155 Removal Rate (nm/min) Static Polishing Load = 2 psi, ph = Concentration of Oxalic Acid (M) Figure 4.7: Effect of oxalic acid concentration on removal rate of copper at potential of 500 mv with respect to OCP.

157 Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of BTA as Inhibitor Experiments were carried out to investigate the effect of addition of BTA on the removal rate of copper in oxalic acid solution. Typically, the concentration of BTA in conventional CMP slurry is in the neighborhood of 100 ppm (0.001 M). Therefore, 0.001M BTA was used in abrasion experiments. Copper samples exposed to 0.1 M oxalic solution containing M BTA at ph 4 were polished at two overpotential values viz. 300 mv and 500 mv. The pressure was held constant at 2 psi. The removal rates were characterized using profilometry. Figure 4.8 (a) shows the static and polishing removal rate of copper as a function of applied overpotential values. At the lower overpotential of 300mV, the static removal rate is 2 nm/min and the removal rate during polishing is ~ 10 nm/min. With an increase in overpotential to 500mV, the polishing rate increases to 110 nm/min, but the static removal rate also increases to 12 nm/min. This increase in static etch rate implies that the effectiveness of BTA decreases significantly at higher anodic potentials required for higher removal rates. Figure 4.8 (b) shows current vs. time profiles for copper subjected to alternate abrasion and no-abrasion condition in oxalic acid solutions containing BTA at different overpotentials. Under no abrasion condition, the current density is very low, of the order of 1μA/cm 2 for 300 and 500mV overpotential. It is important to note that a current density of 1μA/cm 2 is equivalent to copper removal rate of 0.2 Å/min (assuming twoelectron transfer). Under abrasion conditions, the current density at an overpotential of

158 mv increases to approximately 750 μa/cm 2. For 500mV overpotential, the current density is much higher, of the order of 1500 μa/cm 2. A sharp increase in current density is observed on pad contact, which can be attributed to removal of the protective film. Once the load is removed, the current density drops rapidly to the initial level, which indicates the formation of passive film on the copper surface.

159 158 (a) Removal Rate (nm/min) Static Polishing Load = 2 psi, ph = Overpotential (mv) (b) η = 500 mv I (μa/cm 2 ) 1000 No abrasion Abrasion η = 300 mv No abrasion Time (sec) Figure 4.8: (a) Removal rates (b) current vs. time profile of copper exposed to 0.1 M oxalic acid solution containing M BTA and 1% SiO 2 as a function of overpotential. [Note: 1μA/cm Å/min of copper]

160 Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of TSA as Inhibitor At this point, it is clear that the commonly used inhibitor BTA is not effective at higher overpotentials greater than ~ 400 mv. However, higher overpotentials are required in ECMP to achieve higher removal rates. An inhibitor, which can reduce static rate of copper at higher overpotentials, is ideal for ECMP. Thus, investigations were carried out to study the effectiveness of thiosalicylic acid (TSA) as corrosion inhibitor for copper in 0.1 M oxalic acid. Thiosalicylic acid is a derivate of salicylic acid and has been reported to complex copper [4.1]. Polishing of copper samples exposed to 0.1 M oxalic solution containing 0.01 M TSA at ph 4, was carried out as a function of overpotential. At 300 mv overpotential, the removal rate of copper during polishing is ~ 17 nm/min while the static etch rate is ~ 2 nm/min. With an increase in overpotential to 750 mv, the polishing rate increased to 82 nm/min as shown in Figure 4.9 (a). Interestingly, unlike the case for BTA, no static removal was seen in the presence of TSA at higher overpotentials. Polishing of copper surfaces with topography would result in high areas being removed by polishing while the low-lying areas are completely protected, but the removal rates are low. The electrochemical rates seem to agree very well at overpotential of 300 mv, and are lower than profilometric values at overpotential of 750 mv. Figure 4.9 (b) shows current vs. time profiles for copper subjected to alternate abrasion and no-abrasion condition in oxalic acid solution containing TSA at different overpotentials. Under no abrasion condition, the current density is 100 μa/cm 2 for

161 160 applied anodic overpotentials. This is one hundred times larger than the current measured in the BTA system, yet profilometry did not reveal any copper removal. This is because a substantial part of the measured current is due to the oxidation of TSA (refer to Section 4.6) and not due to dissolution of copper. Under abrasion conditions, the current density at 300mV overpotential is approximately 670 μa/cm 2. For higher overpotentials of 500 and 750mV, the current density is much higher, of the order of 1500 and 2500 μa/cm 2. This increase in current density with overpotential is attributed to higher copper removal due to removal of passive TSA film during abrasion. It can be concluded from the abrasion experiments that TSA is an effective corrosion inhibitor for copper and ideal for ECMP applications.

162 161 (a) Removal Rate (nm/min) Static Polishing Polishing (EC) Overpotential (mv) (b) Abrasion η = 750 mv 2000 I (μa/cm 2 ) 1500 No abrasion η = 500 mv No abrasion η = 300 mv Time (sec) Figure 4.9: (a) Removal rate (b) Current vs. time profile of copper exposed to 0.1 M oxalic acid solution containing 0.01 M TSA and 1% SiO 2 as a function of overpotential. [Note: 1μA/cm Å/min of copper]

163 Removal Rates of Copper in Oxalic Acid Solution Containing TSA Effect of Particle Concentration Experiments were also carried out to characterize the effect of particle concentration on the removal rate of copper in oxalic acid solution. Abrasion experiments at ph 4 were carried out by varying the concentration of silica particles from 0% (no particles) to 4%. The concentration of oxalic acid (0.1 M) and TSA (0.01 M) and the overpotential (750 mv) were held constant. The removal rates obtained by profilometry are shown in Figure In absence of silica particles, the copper removal rate is ~ 20 nm/min. The addition of 0.5 % SiO 2 increases the removal rate to 45 nm/min. The copper removal rate increases to 82 nm/min with an increase in particle concentration to 1%. A further increase in silica concentration (4%), does not significantly increase the removal rate. These results show that there is a significant effect of particle concentration (up to 1%) on the copper removal rate in 0.1 M oxalic acid solution at ph 4, but the removal rates are low. It should be noted that the relative velocities achieved in the laboratory EC- AC tool is very low compared to the commercial tools, and hence a polish rate of 82 nm/min in an EC-AC tool could scale up to 300 nm/min in a commercial ECMP tool.

164 Polishing 80 Removal Rate (nm/min) Concentration of SiO 2 particles (%) Figure 4.10: Effect of silica concentration on removal rate of copper at 750 mv overpotential.

165 Removal Rates of Copper in Oxalic Acid Solution Containing TSA Effect of solution ph All the experiments discussed so far were carried out at a solution ph of 4. It may be recalled that at overpotential of 750 mv, the highest removal rate of copper achieved at ph 4 was 82 nm/min. This removal rate value is quite low when compared to a typical ECMP removal rate of 600 nm/min. Thus, experiments were carried out to characterize the removal rate of copper in oxalic acid solution as a function of solution ph. The ph of the solution was varied from 3 to 6, while the concentration of oxalic acid, TSA and SiO 2 particles was fixed at 0.1 M, 0.01 M, and 1% respectively. All the experiments were carried out at overpotential of 750 mv and a pressure of 2 psi. Figure 4.11 shows the removal rate of copper as a function of solution ph. It may be seen that at ph 3, the removal rate of copper during polishing is low at 55 nm/min along with a small static rate of 1.4 nm/min. At ph 4, as already discussed, the polishing removal rate is 82 nm/min with no static rate observed by profilometry. With an increase in solution ph to 5, the removal rate during polishing increases to 103 nm/min. A further increase in ph to 6 increases the removal rate to nm/min, but the static etch rate also increases to 20 nm/min. This indicates that TSA seem to become less effective in inhibiting copper dissolution at this ph value. Even though the highest polishing rate is recorded at ph 6, it is not optimal for ECMP, due to the high static rate. The high static rate observed at ph 6 deserves an explanation. A close observation of the Pourbaix diagram (Figure 4.3) reveals that ph 6 is close to the line that separates the region of Cu-TSA complex from that of anionic copper-oxalate

166 165 complex. Thus, it is very likely that at this ph, the formation of copper-oxalate complex may be taking place, which in turn would reduce the effectiveness of TSA. As this doubly charged anionic complex is an aqueous complex, it would lead to the dissolution of copper and an increase in static etch rate. The electrochemical rates based on twoelectron transfer are also shown in Figure As seen earlier, the estimated removal rates are lower than the actual removal rates. The discrepancy between the actual rates and electrochemical rates suggests that the removal mechanism is not truly electrochemical. Perhaps, some portion of the actual removal rate is due to applied load. It can be concluded from these results that, as there is no static rate observed at ph 4, ph 4 seems to be best for ECMP of copper in 0.1 M oxalic acid containing TSA.

167 Static Polishing Polishing (EC) Removal Rate (nm/min) ph Figure 4.11: Removal rate of copper in 0.1M oxalic acid containing 0.01 M TSA as a function of solution ph at overpotential of 750 mv.

168 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Current Density Most of the results discussed so far were obtained under constant potential (potentiostatic) conditions. In potentiostatic mode, copper samples are held at constant overpotential values and current vs. time profiles are recorded. Since the electrochemical rates are calculated from measured current, it is interesting to characterize removal rate of copper under constant current (Galvanostatic) conditions. In galvanostatic mode, a fixed current is applied to copper and the potential difference between copper and the reference electrode is monitored with time. This study also helps in determining the rate of film formation. In this study, the concentrations of oxalic acid, TSA, and SiO 2 particles were fixed at 0.1 M, 0.01 M and 1% respectively. All the solutions were maintained at ph 4 and polishing pressure at 2 psi. Abrasion experiments were carried out by applying two constant currents, viz ma (I 1 ) and ma (I 2 ) to the copper samples corresponding to current densities of 0.11 ma/cm 2 and 0.61 ma/cm 2 respectively. These current density values were chosen from the anodic polarization curve of copper exposed to chemical formulation (see figure 4.6). The current I 1 is the lowest measured current, when the copper surface is completely passivated due to the formation of TSA film. The current I 2 is the maximum current measured at the passivation potential at which the copper surface starts to passivate.

169 168 Figure 4.12 (a) shows the variation of measured potential at an applied current of ma. The initial potential before the application of current is 175 mv vs. SHE. The moment the current is applied, the potential quickly increases and reaches a steady state value of 220 mv vs. SHE. When the sample is polished (abraded), the potential quickly decreases by 30 mv indicating removal of a TSA film. Once the abrasion is stopped, the potential increases to 205 mv vs. SHE. This indicates that the copper surface repassivates rapidly. The variation of potential at an applied current of ma is shown in Figure 4.12 (b). Under no abrasion conditions, even after 8 minutes of holding, the potential keeps increasing from 250 mv vs. SHE to 2 V vs. SHE and no plateau is observed (as seen for lower current condition). This indicates formation of a resistive multilayer film on the copper surface. As soon as abrasion is started, there is a dramatic drop in potential from 2 V vs. SHE to 500 mv vs. SHE. This indicates that the passive TSA film is removed during abrasion. Once the abrasion is stopped, the potential again increases and reaches a steady state value of 1.5 V vs. SHE due to repassivation of the copper surface. It is important to note that a six fold increase in current from ma to ma increases the copper removal rate by six fold from 13.5 nm/min to 82 nm/min. Another observation that can be made for both low and high current conditions is that the potential after abrasion does not reach the value that was measured before the start of abrasion. It may be argued that after abrasion, the copper surface might have changed, thus causing this behavior.

170 169 (a) I 1 = ma RR = 13.5 nm/min Load = 2 psi, ph 4 E vs. SHE (V) No polishing Polishing No polishing Time (sec) (b) I 2 = ma RR = 82 nm/min Load = 2 psi, ph 4 E vs. SHE (V) No polishing Polishing No polishing Time (sec) Figure 4.12: Variation of potential with time during abrasion of copper in 0.1 M oxalic containing 0.01 M TSA and 1% SiO 2 for applied current densities of (a) 0.11 ma/cm 2 and (b) 0.61 ma/cm 2

171 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Particles The next set of experiments was carried out to study the effect of particles on the removal rate of copper under galvanostatic conditions. Polishing of copper samples exposed to solution containing 0.1 M oxalic acid and 0.01 M TSA at ph 4 was carried out at a constant current of ma (current density of 0.61 ma/cm 2 ), in the absence and presence (1%) of SiO 2 particles. In these experiments, polishing was started immediately after the application of current. Figure 4.13 (a) displays the effect of particle concentration on the measured potential with and without abrasion. It may be seen that when the polishing is carried out in the presence of particles, the potential stays at a constant value of 400 mv vs. SHE. Once the polishing is stopped, the potential increases to 1 V vs. SHE and becomes constant. It is interesting to note that, if polishing is carried out without particles, the recorded potential value, 800 mv vs. SHE, is much higher than that with particles. This behavior can be explained as being due to incomplete removal of the passive film. The potential increases to 1 V vs. SHE once the abrasion is stopped. The removal rate measured by profilometry is shown in Figure 4.13 (b). The removal rate increases from ~15 nm/min (in the absence of particles) to 40 nm/min when particles are present in the chemical system. However, these removal rates are lower than those observed for same applied current (which was 82 nm/min) when a copper sample is held for 8 minutes before abrasion. It may be argued that the holding time before abrasion is responsible for the observed difference in removal rates.

172 171 (a) 1.2 Polishing No polishing 1.0 E vs. SHE (V) no SiO % SiO 2 Polishing No polishing Time (sec) (b) I = ma Load = 2 psi, ph 4 Removal Rate (nm/min) Concentration of SiO 2 (%) Figure 4.13: Effect of particle concentration on (a) measured potential, and (b) on copper removal rate in 0.1 M oxalic containing 0.01 M TSA.

173 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Time before Polishing It may be recalled from the last section that a lower removal rate is observed when the polishing is started immediately after the application of current. For the same chemistry and applied current value, a higher removal rate was observed when the polishing was started after 8 minutes. In order to explain these results, experiments were carried out by holding the copper sample for different time intervals before the polishing was started. Copper samples exposed to 0.1 M oxalic acid at ph 4 containing 0.01 M TSA and 1% SiO 2 were held at a constant current of ma (current density of 0.61 ma/cm 2 ). Polishing was started immediately, after 4 minutes and after 8 minutes of application of current. The removal rates measured by profilometry are displayed in Figure In each case, the polishing time was 5 minutes. As shown in the figure, when polishing is started immediately after application of current, the measured removal rate is only about 30 nm/min. If polishing is started after 4 minutes of current application, the removal rate increases to 48.5 nm/min. With a further increase in holding time to 8 minutes, the removal rate increases to 85 nm/min. These results point to the fact that it is easier to remove the passive film than the copper itself. If polishing is started immediately after current is applied, the thin film formed is instantly removed, which results in lower removal rate. This indicates that the TSA film is softer than the electroplated copper film and is easier to remove. Thus, the copper removal rate increases with the holding time before polishing.

174 173 Even though this is significant result in that it shows that the passive film is much easier to remove than the copper, this strategy (intermediate holding and polishing) to obtain high removal rates cannot be used during ECMP. Thus, a better way to increase the removal rate of copper must be investigated. The results discussing higher removal rates of copper during ECMP are discussed in Section 4.4.

175 Polishing 80 Removal Rate (nm/min) Time before polishing (min) Figure 4.14 : Effect of time before polishing on removal rate of copper exposed to 0.1 M oxalic acid containing 0.01 M TSA and 1% SiO 2 at a constant current density of 0.61 ma/cm 2.

176 ECMP of Copper in the Absence of Abrasive Particles The highest removal rate of copper that was achieved with particles was 850 Å/min at an overpotential of 750 mv. This removal rate was recorded in a solution containing 0.1 M oxalic acid and 0.01 M TSA at ph 4. In addition, this rate of 85 nm/min was achieved in the presence of 1% SiO 2 particles. Results discussed in Sections and show that particles are required to increase the removal rate of copper. In the absence of particles, the removal rate is very low (~ 15 nm/min). However, a typical ECMP electrolyte does not contain particles. Thus, more investigations were carried out to achieve high removal rates in oxalic acid solutions. Since particles must be eliminated from the electrolyte, the work that will be discussed from now on was carried out in the absence of particles. In addition, to increase the removal rate of copper, the oxalic acid concentration was also increased.

177 Removal Rates of Copper during Abrasion in Oxalic Acid Solution Effect of Concentration Preliminary investigations were carried out as a function of oxalic acid concentration. Copper samples were exposed to three oxalic acid solutions with concentrations of 0.01 M, 0.1 M and 0.3 M at an overpotential of 750 mv. Polishing was carried out at a pressure of 2 psi in the absence of particles. The polishing time was varied for each experiment, depending on the recorded current value. Polishing was carried out for shorter times, if a higher current (e.g. > 50 ma) was recorded. The samples were characterized for static and polishing removal rates with the four-point probe technique. The static and polishing removal rates of copper as a function of oxalic acid concentration at 750 mv overpotential are shown in Figure It may be seen that both static etch rate and removal rate due to abrasion increases, with oxalic acid concentration. In 0.01 M oxalic acid solution, the static etch rate of copper is 19.5 nm/min and the polishing rate is 30 nm/min. With an increase in oxalic acid concentration to 0.1 M, both static and polishing rates increase to 164 nm/min and 178 nm/min respectively. With a further increase in oxalic acid level to 0.3 M, a very high static and polishing rate of 290 nm/min and 320 nm/min respectively was observed. The removal rate of copper (not shown in the figure) in 0.3 M oxalic acid solution at ph 4 under OCP conditions was 0.6 nm/min. These results show that 0.3 M oxalic acid in the absence of particles is a potential chemistry for ECMP applications. However, an inhibitor must be added to control the static rate.

178 Removal Rate (nm/min) Static Polishing η = 750 mv Concentration of Oxalic Acid (M) Figure 4.15 : Removal rate of copper in the absence of particles as a function of oxalic acid concentration at overpotential of 750 mv.

179 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of Current Density Abrasion experiments were carried out under galvanostatic conditions to characterize the effect of current density on removal rates. The electrolyte consisted of 0.3 M oxalic acid and thiosalicylic acid (TSA) as an inhibitor. Since the earlier investigations showed that 0.01 M TSA was able to reduce the static rate to almost zero, same level of TSA was used in the current investigation. Copper samples exposed to this electrolyte at ph 4 were held at three current densities, viz. 0.35, 1.7, and 2.1 ma/cm 2. The three current density values were determined from the polarization curve. The removal rates as a function of applied current density are shown in Figure 4.16 (a). The removal rate increases with an increase in current density. A low removal rate of 240 Å/min was obtained for current density of 0.35 ma/cm 2. An increase in current density to 1.7 ma/cm 2 significantly increases the removal rate to 89.5 nm/min. A further increase in current density to 2.1 ma/cm 2 results in a removal rate of 150 nm/min. A careful observation of the removal rates and current density values reveals that a six-fold increase in current density from 0.35 to 2.1 ma/cm 2 increases the copper removal rate by six fold (24 nm/min to 150 nm/min). However, the electrochemical rates calculated from applied charge based on two electron transfer show that the estimated rate is much lower than the actual removal rate. The reason behind the difference between the actual and electrochemical rates has been discussed earlier. Another important point that must be noted is that for all the three current density values, no static dissolution of copper was

180 179 observed. This indicates that TSA is effective in protecting copper by inhibiting static copper dissolution in 0.3 M oxalic acid. The measured potential values for the three applied currents are shown in Figure 4.16 (b). In case of applied currents of 9.5 and ma, potential increases very rapidly in the first few seconds from about 330 mv vs. SHE and reaches a value of ~800 mv vs. SHE. The initial increase in potential is due to rapid formation of passive TSA film on the copper surface. As soon as abrasion is started, the potential decreases slightly, to about 590 mv and 700 mv vs. SHE respectively, and remains at a constant value. This is due to removal of the passive film. Once the load is removed, there is an instant increase in potential to about 1 V vs. SHE, due to repassivation of the copper surface. It may be seen that for applied current of 2 ma, a potential of only 270 mv vs. SHE is recorded. Additionally, a small increase in potential (compared to that for higher applied currents) is seen once the abrasion is stopped. This shows that the passivation of copper surface follows a different mechanism at lower currents than it follows at higher current values. A more detailed discussion of this difference in mechanism is discussed in Section 4.8. The measured potential values correspond to overpotential values of 300 mv, 650 mv and 750 mv respectively. It may be concluded from this study that even in higher concentration of oxalic acid (0.3 M), TSA is effective in inhibiting copper dissolution. In addition, a high removal rate of 150 nm/min, in the absence of particles can be achieved in this chemistry.

181 180 (a) Polishing Electrochemical 150 Removal Rate (nm/min) Current Density (ma/cm 2 ) (b) 1.4 Abrasion No Abrasion E vs. SHE (V) I = ma I = 9.5 ma 0.25 I = 2 ma Abrasion No Abrasion Time (sec) Figure 4.16: (a) Removal rates and (b) potential vs. time profiles for copper abraded in presence of 0.3 M oxalic acid containing 0.01 M TSA at ph 4.

182 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Containing TSA Effect of TSA Concentration All the results reported so far were obtained for TSA concentration of 0.01 M. In order to determine the minimum TSA concentration required for inhibiting copper dissolution, experiments were carried out as a function of TSA concentration. The concentration of TSA was reduced to M and M, while that of oxalic acid was fixed at 0.3 M. All the solutions were maintained at ph 4. Abrasion of copper samples was carried out under galvanostatic conditions at an applied current density of 2.1 ma/cm 2. The removal rates characterized by a four point probe are shown in Figure 4.17(a). For comparison purposes, the removal rate obtained in solution containing 0.01 M TSA is also displayed in the same figure. It can be seen that the removal rate of copper increases with a decrease in TSA concentration For example, the removal rate of copper decreases from ~ 240 nm/min to 150 nm/min when TSA concentration increases from M to 0.01 M. Further decreasing the TSA concentration to M increased the removal rate to 295 nm/min. However, a high static etch rate of 58 nm/min was also observed in the same solution. It can be inferred from these results that since addition of M TSA to 0.3 M oxalic acid solution can inhibit static copper dissolution, a concentration of 0.01 M TSA is not required. Figure 4.17(b) shows potential vs. time profiles obtained for the three TSA concentrations. The potential profile recorded in a solution containing M TSA is very similar to that seen in 0.01 M TSA and can be explained similarly as in Section

183 182 However, in the case of M TSA, a lower potential value of 350 mv vs. SHE is recorded. Once the abrasion is stopped, the potential increases to about 800 mv vs. SHE. This indicates that a complete passivation of the copper surface does not take place in a solution containing M TSA, because of which there is static dissolution of copper in 0.3 M oxalic acid solution. These results show that as far as static etch rate is concerned, a TSA concentration of 0.01 M is not required, since a similar result can be achieved at a lower concentration. In addition, a higher removal rate of copper is achieved in a solution containing M TSA and 0.3 M oxalic acid. It should be noted that the relative velocities achieved in the laboratory EC-AC tool are very low compared to the commercial tools, and hence a polish rate of 240 nm/min in an EC-AC tool could scale up to 1,000 nm/min in a commercial ECMP tool.

184 183 (a) Removal Rate (nm/min) Static Polishing I = ma Concentration of TSA (M) (b) Abrasion No Abrasion 1.2 E vs. SHE (V) M TSA 0.01 M TSA M TSA Time (sec) Figure 4.17 : (a) Removal rates and (b) potential vs. time profiles for copper abraded in solution containing 0.3 M oxalic acid and various concentrations of TSA at ph 4.

185 Galvanostatic Study of Copper Removal in Oxalic Acid Solution Comparison of BTA and TSA as Inhibitor It is clear that a high copper removal rate of 240 nm/min and a zero static dissolution rate can be obtained in solution containing 0.3 M oxalic acid and M TSA at ph 4. At this juncture, results of experiments carried out to compare thiosalicylic acid (TSA) with the conventionally used inhibitor benzotriazole (BTA) will be discussed. Abrasion experiments were carried out under galvanostatic mode, by exposing copper samples to 0.3 M oxalic acid solution containing different levels of TSA and BTA at ph 4. The applied current density was 2.1 ma/cm 2 and the load was held constant at 2 psi. Two concentrations of TSA and BTA were investigated, viz M and M. The removal rates characterized by a four point probe are displayed in Figure A high static dissolution rate of copper is seen in the case of BTA. In solution containing M BTA, the static etch rate of copper is 64 nm/min and the removal rate is 234 nm/min. Similarly, the static etch rate and removal rate of copper in solution containing M BTA are 67 nm/min and 275 nm/min respectively. However, no static etching of copper is seen in a solution containing M TSA, while a rate of 58 nm/min is seen in those containing M TSA. It is clear that a solution concentration of M BTA is not sufficient to inhibit copper dissolution in 0.3 M oxalic acid solution at an applied current density of 2.1 ma/cm 2. However, a similar amount (0.005 M) of TSA can inhibit copper dissolution. At the lower concentration of M, neither BTA nor TSA is effective.

186 185 It can be concluded from these results that thiosalicyclic acid is a better inhibitor than benzotriazole for ECMP of copper in oxalic acid solutions.

187 186 Removal Rate (nm/min) Static Polishing I = ma M TSA M BTA M TSA M BTA Figure 4.18: Comparison of BTA and TSA as inhibitor for copper exposed to 0.3 M oxalic acid solution at ph 4.

188 Passivation Kinetics of Copper in Oxalic Acid Solution Containing TSA Experiments were carried out to characterize the kinetics of formation of passive film under anodic overpotential conditions, using an electrochemical quartz crystal microbalance (EQCM) technique. For these experiments, copper films were electrodeposited on a QCM crystal and were exposed to oxalic acid chemistries containing inhibitors. The electrodeposited copper film on QCM crystal was held at different anodic overpotentials and the mass of copper film was monitored with time. The mass change in presence and absence of inhibitors is discussed in the following sections Dissolution of Copper in Oxalic Acid Electrodeposited copper film on QCM crystal was exposed to oxalic acid solutions of three different concentrations viz. 0.1 M, 0.3 M, and 0.5 M at ph 4. The mass change of copper film was recorded at overpotentials of 300 mv, 500 mv and 750 mv for each of the oxalic acid concentrations. The mass decreased linearly with time at all oxalic acid concentrations and overpotential conditions. It may be noted that a mass decrease indicates dissolution, while a mass increase indicates surface layer formation or inhibition. In addition, a mass decrease of 1 μg/cm 2 in one minute is equivalent to a rate of 1.1 nm/min. Figure 4.19 shows a change in the mass of copper film with time at an overpotential of 750 mv. It is clear that mass decreases more rapidly with an increase in oxalic acid concentration. For example, for 0.1 M oxalic acid solution, approximately 170 μg/cm 2 of copper is dissolved in one minute. This corresponds to a dissolution rate of 189 nm/min.

189 188 Similarly, for 0.3 M and 0.5 M oxalic acid, approximately 510 μg/cm 2 and 750 μg/cm 2 of copper is dissolved, which is equivalent to dissolution rates of 561 nm/min and 826 nm/min respectively. The dissolution rates at lower overpotential values are tabulated in Table 4.4. It may be noted that at open circuit potential (OCP) conditions, the dissolution rate of copper is very low in all the oxalic acid concentrations. The dissolution rate increases only with the application of potential. A comparison of copper dissolution rates obtained from QCM experiments with those in Section shows that except for 0.1 M oxalic acid, the rates obtained using QCM are somewhat higher than those obtained using the EC-AC tool (without abrasion). It may be noted that the electroplated copper films used in the EC-AC tool experiments were annealed, while those used in QCM were freshly electroplated (not annealed). Perhaps this difference between the copper films is responsible for the observed variation in dissolution rates Copper Dissolution in Oxalic Acid Containing TSA The mass change of copper coated QCM crystal when exposed to oxalic acid solution containing 0.01 M TSA at ph 4 is shown in Figure The data was recorded in oxalic acid solutions of 0.1 M, 0.3 M, and 0.5 M and four overpotentials of 0, 300 mv, 500 mv, and 750 mv. As shown in the top figure, the mass increases as soon as copper is immersed in a solution of 0.1 M oxalic acid containing 0.01 M TSA. The mass increase is most likely due to adsorption of TSA molecules on the copper surface to

190 Mass Change (μg/cm 2 ) M 0.1 M M η = 750 mv Time (min) Figure 4.19: Effect of oxalic acid concentration on mass change of copper coated QCM crystal at overpotential of 750 mv. Table 4.4: Dissolution rate of copper as a function of oxalic acid concentration and overpotential. Concentration of Static Dissolution Rate (nm/min) oxalic acid (M) OCP η = 300 mv η = 500 mv η = 750 mv

191 M Oxalic M TSA, ph 4 η = 750 mv Mass Change (μg/cm 2 ) η = 500 mv η = 300 mv OCP Time (min) 40 Mass Change (μg/cm 2 ) M Oxalic M TSA, ph 4 η = 500 mv η = 300 mv OCP -40 η = 750 mv Time (min) Figure 4.20: Mass change of copper exposed to 0.1 M (top) and 0.5 M (bottom) oxalic acid containing 0.01 M TSA at different overpotential conditions.

192 191 form a passive film. It can be seen that the mass increases to higher values, with an increase in overpotential. For example, after one minute, the mass increases to 7.6 μg/cm 2 at OCP and 16 μg/cm 2 at 300 mv overpotential. A further increase in overpotential to 500 mv and 750 mv increases the mass to 19 and 32 μg/cm 2 respectively. It is also observed that the mass increases rapidly for the first minute, after which it tends to saturate. In a 0.5 M oxalic acid solution containing 0.01 M TSA, an increase in mass with time is observed only for overpotentials of 300 mv and 500 mv. At the higher overpotential of 750 mv, a decrease in mass was recorded. In the first 15 seconds, about 20 μg/cm 2 of copper is dissolved, after which the mass decreases slowly. Based on the data collected for 3 minutes, the dissolution rate of copper was calculated to be 53 nm/min. This indicates that at higher overpotentials ( 750 mv), TSA is not effective in inhibiting copper dissolution in 0.5 M oxalic acid solution. It may be concluded from these data that for oxalic acid concentrations of 0.3 M, TSA is effective in inhibiting copper dissolution at all anodic overpotential values. However, in 0.5 M oxalic acid solution, TSA inhibits dissolution up to an overpotential of 500 mv Effect of TSA Concentration on Copper Dissolution in Oxalic Acid Since it was clear that TSA is not effective in inhibiting copper dissolution at a higher ovepotential ( 750 mv) in 0.5 M oxalic acid solutions, subsequent experiments were carried out in 0.3 M oxalic acid solution. The effect of TSA concentration was

193 192 characterized by exposing copper coated QCM crystal to 0.3 M oxalic acid solution containing varying amounts of TSA at ph 4. Figure 4.21 shows the recorded mass change for three TSA concentrations of 0.01 M, M and M at an overpotential of 750 mv. It is clear that the mass increases in solutions containing 0.01 M and M TSA and decreases in solution containing M TSA. In the case of M, the mass increases rapidly to 12 μg/cm 2 in the first 30 seconds, and tends to saturate after one minute. At the end of three minutes, the recorded mass increases is 23 μg/cm 2. This indicates that even M of TSA is sufficient to form a passive film on the copper surface, which can stop copper dissolution. However, when M TSA is added to 0.3 M oxalic acid, the mass decreases linearly with time. Approximately, 373 μg/cm 2 of copper is dissolved in a minute, which corresponds to a rate of 410 nm/min. Thus, M TSA is not effective in inhibiting copper dissolution in 0.3 M oxalic acid solution Comparison of TSA and BTA as Inhibitors for Copper in Oxalic Acid Chemistry It is now clear that even M TSA is sufficient to inhibit copper dissolution in 0.3 M oxalic acid at ph 4. Since BTA is the most commonly used inhibitor for copper, experiments were carried out to compare the effectiveness of TSA and BTA in inhibiting copper dissolution in 0.3 M oxalic acid solutions. These tests were conducted in two solutions at ph 4, one containing M TSA and the other containing M BTA. Mass change data recorded in both solutions shown in Figure 4.22(a) and Figure 4.22(b) clearly indicate that the mass increases in the

194 193 presence of M TSA for all overpotential values. The higher the overpotential, the higher is the increase in mass. In the case of BTA, under OCP conditions, the mass initially increases to μg/cm 2 in the first 15 seconds, after which it decreases with time. Approximately 0.19 μg/cm 2 of copper is dissolved in a minute, which corresponds to a rate of 0.2 nm/min. This indicates that initially BTA tends to adsorb on the copper surface, but with time oxalic acid molecules, because of their higher concentration in solution, begin to displace BTA from the copper surface and lead to dissolution. A similar variation of mass with time is seen at 300 mv overpotential. Copper is dissolved at a rate of 1 nm/min in the first minute and the rate decreases to 0.5 nm/min after 2 minutes. At an overpotential of 500 mv, copper is dissolved at a rate of 1.1 nm/min during the first minute and decreases to 0.3 nm/min after 3 minutes. However, at 750 mv overpotential, the rate of mass change is very fast and mass decreases linearly with time. For example, in about 10 seconds, 3 μg/cm 2 of copper is dissolved, indicating a rate of 33 nm/min. This shows that unlike TSA, BTA is not effective in inhibiting copper dissolution at higher anodic overpotentials.

195 M TSA 0.01 M TSA Mass Change (μg/cm 2 ) η = 750 mv M TSA Time (min) Figure 4.21: Effect of TSA concentration on mass change of copper exposed to 0.3 M oxalic acid at overpotential of 750 mv.

196 195 (a) M Oxalic Acid M TSA, ph 4 η = 750 mv Mass Change (μg/cm 2 ) η = 500 mv η = 300 mv OCP Time (min) (b) M Oxalic Acid M BTA Mass Change (μg/cm 2 ) η = 750 mv OCP η = 500 mv η = 300 mv Time (min) Figure 4.22: Mass change for copper exposed to 0.3 M oxalic acid at ph 4 containing (a) M TSA and (b) M BTA as a function of overpotential.

197 Inhibition Efficiency The inhibition efficiency of TSA and BTA was calculated from the corrosion rate of copper in the absence (CR abs ) and presence (CR pre ) of an inhibitor using the following equation. [ CRabs CR pre ] Inhibition Efficiency (%) = 100 CR abs The corrosion (dissolution) rate was calculated from the mass change vs. time profiles recorded from QCM experiments, at different anodic overpotentials, using the conversion where 1 μg/cm 2.min is equivalent to a rate of 1 nm/min. Figure 4.23 compares the inhibition efficiency of BTA and TSA for copper exposed to 0.3 M oxalic acid at ph 4. It may be seen that TSA shows 100% inhibition efficiency for all overpotential values. In the case of BTA, the inhibiting efficiency under OCP conditions is about 52%. Under OCP conditions, CR abs is only 0.2 nm/min, while CR pre is ~ 0.1 nm/min. Since the difference between the dissolution rates in the absence and presence of BTA is very small, it results in lower inhibition efficiency. BTA is quite effective at overpotentials of 300 mv and 500 mv with inhibition efficiency of 99%. It may be noted that the CR abs increases significantly with overpotential. However, inhibition efficiency drops to 88% for an overpotential of 750 mv. This clearly shows that BTA is not an effective inhibitor for copper at higher overpotentials of 750 mv. It can be concluded from these results that TSA completely inhibits copper dissolution in oxalic acid solution at all overpotential values. Additionally, TSA appears to be better

198 197 than the conventionally used BTA in oxalic acid solution at high overpotentials ( 750 mv).

199 TSA BTA Inhibition Efficiency (%) Overpotential (mv) Figure 4.23: Comparison of inhibition efficiency of BTA and TSA in 0.3 M oxalic acid as a function of overpotential.

200 Cyclic Voltammetry (CV) From the abrasion experiments, the best condition for removal of copper in oxalic acid solution can be summarized as follows: Chemistry: 0.3 M oxalic acid containing M TSA at ph 4 Current Density: 2.1 ma/cm 2 (overpotential = 750 mv) It may be recalled that the removal rate of copper in this chemistry is 240 nm/min with no static dissolution. The reason behind the stability of TSA under high anodic overpotential conditions was then investigated. It has been reported [4.2] that TSA can be oxidized in the presence of copper to form disulfide, using vibrational studies. However, the oxidation potential of TSA has not been reported. Hence, cyclic voltammetry experiments were carried out to characterize oxidation potential of TSA. Since the chemistry consists of oxalic acid in addition to TSA, initial experiments were carried out on oxalic acid solutions Oxidation of Oxalic Acid To determine the potential at which oxalic acid gets oxidized, experiments were carried out using a platinum plate as working and counter electrodes and saturated calomel (Hg/Hg 2 Cl 2 /KCl) with luggin capillary as a reference electrode. Since characterizing oxidation potential was the major objective, the scan was initiated from the open circuit potential (OCP) to 1.2 V vs. OCP and then was reversed back to OCP. The potential was scanned at a rate of 50 mv/s.

201 200 Figure 4.24 shows the cyclic voltammogram for 0.01 M oxalic acid at ph 4. When the potential is increased from OCP (~ 430 mv vs. SCE) to 1.2 V vs. OCP, a peak corresponding to oxidation potential of oxalic acid is observed at 1 V vs. SCE. This oxidation potential value is very close to that reported by Chollier and coworkers [4.3, 4.4]. They found that the oxidation of oxalic acid begins at 700 mv vs. SHE, attains a maximum at 1.3 V vs. SHE and decreases thereafter. The voltammogram showed a broad peak similar to the one obtained in this experiment. No distinguishable peak was observed during the reversal of scan back to OCP. This indicates that the oxidation reaction is not reversible Oxidation of Thiosalicylic Acid (TSA) Cyclic voltammetry experiments were carried out to determine the oxidation potential of thiosalicylic acid. A platinum plate was used as working and counter electrodes, while saturated calomel with luggin capillary was used as a reference electrode. Before the experiment was carried out, the TSA solution was purged with nitrogen for about 30 minutes. The solution, covered with nitrogen blanket, was not stirred during the experiments. As in the case of oxalic acid, the potential was swept only in the anodic direction. The potential was scanned from OCP to 1.2 V vs. OCP and was reversed back to OCP, with a scan rate of 10 mv/s. The cyclic voltammogram obtained for 0.01 M TSA at ph 4 is shown in Figure It may be seen that during the forward scan, only a single peak at 800 mv vs. SCE is

202 201 observed. This peak most likely corresponds to oxidation of TSA to disulfide, as shown by the following reaction. For comparison purposes, the oxidation potential values of some organic compounds with the mercapto group (-SH) are tabulated in Table 4.5. The oxidation potentials of all the listed compounds were determined using a cyclic voltammetry technique. It is clear that the oxidation potential of thiosalicylic acid compares well with other compounds. Table 4.5: Oxidation potentials of some organic compounds. Compound Oxidation Potential (E ox ) Reference Thioglycolic Acid 750 mv vs. Ag/AgCl 4.5 Thiophenol 945 mv vs. SHE naphthiol 845 mv vs. SHE 4.6 Thiourea 600 mv vs. SCE 4.7, 4.8

203 M Oxalic, ph I (μa/cm 2 ) E vs. SCE (V) Figure 4.24 : Cyclic voltammogram for 0.01 M oxalic acid at ph 4, on platinum working electrode at a scan rate of 50 mv/s M TSA, ph I (μa/cm 2 ) E vs. SCE (V) Figure 4.25: Cyclic voltammogram for 0.01 M TSA at ph 4, on platinum working electrode at a scan rate of 10 mv/s.

204 Cyclic Voltammetry (CV) and Quartz Crystal Microbalance (QCM) Studies in Cu/TSA System Since it is clear that TSA oxidizes to disulfide, experiments were carried out to characterize the kinetics of passivation during oxidation of TSA. This was done by recording mass change using QCM while changing the applied potential at a rate of 10 mv/sec. Initially, copper was electrodeposited on the QCM crystal and the TSA solution was purged with nitrogen. During the experiments, the solution was not stirred and was covered with a nitrogen blanket. Figure 4.26 shows the cyclic voltammetry scan and simultaneous measurement of mass change using QCM for the copper electrode in 0.01M TSA at ph 4. The potential was swept from OCP to 1.2 V vs. OCP and reversed back to OCP at a scan rate of 5 mv/s, and the mass change as a function of time was recorded simultaneously using QCM. The CV curve shows the presence of two peaks at 300 mv and 800 mv vs. SCE. The peak at 800mV vs. SCE is due to oxidation of TSA to disulfide. However, the reaction responsible for the peak at 300 mv is not clear. It may be due to the oxidation of copper to form a cupric TSA complex. No reduction peaks are observed in the reverse scan which shows that the reactions causing the peaks are irreversible. It may be seen that the mass slowly starts to increase as the potential increases from OCP. Recorded mass shows an increase in mass from 10 μg/cm 2 to 40 μg/cm 2 during the first peak formation. When TSA is being oxidized at 800mV, the mass increases very rapidly from 40 μg/cm 2 to 120 μg/cm 2. However, the mass remained unchanged when the potential was reversed back to OCP.

205 204 The recorded mass increase of 54 μg/cm 2 at an overpotential of 750 mv, during this experiment, is very close to the mass increase of 50 μg/cm 2 recorded at the same overpotential in earlier experiments (refer to Section 4.5.2). It is clear from these experiments that TSA oxidizes to disulfide at a potential of 800 mv. SCE. It may be recalled that during abrasion experiments (Section 4.4.2); the applied current density of 2.1 ma/cm 2 corresponds to an overpotential of 750 mv, which is very close to the oxidation potential of TSA. Thus, oxidation of TSA results in the formation of a disulfide film, which is responsible for almost zero (static) dissolution rates of copper observed earlier in polishing experiments.

206 205 I (μa/cm 2 ) Mass Change (μg/cm 2 ) E vs. SCE (V) Time (min) Figure 4.26: Mass change recorded during cyclic voltammetry experiment of copper exposed to 0.01 M TSA solution at ph 4 (no oxalic acid)

207 XPS Characterization of Passive Film X-ray photoelectron spectroscopy was used to characterize the interaction of TSA with the copper surface. Electroplated copper films were exposed to 0.01 M TSA dissolved in ethanol, under OCP conditions. The solution was initially purged with nitrogen for 30 minutes. Copper films were directly transferred from the alcohol solution to the vacuum chamber for XPS measurements. In order to find relative amounts of carbon, oxygen, and sulfur in the TSA molecule, XPS measurements were also carried out on the as-received TSA solid. The XPS spectra of the as-received TSA solid and the copper surface exposed to TSA containing solution are shown in Figure 4.27(a) and 4.27(b) respectively. In the case of TSA, the spectrum shows the presence of carbon (C 1s peak at ev), oxygen (O 1s peak at ev), and sulfur (S 2p peak at ev). For copper samples, in addition to carbon, oxygen and sulfur, the spectrum shown the presence of copper (Cu 2p peaks at ev and ev) on the sample surface. From the area of the individual peaks, the atomic concentrations of the elements were determined and these are tabulated in Table 4.6. In the case of copper samples, the atomic concentrations are Copper = 10.59%, Carbon = 63.60%, Oxygen = 19.04% and Sulfur = 6.76%. The ratio of carbon to sulfur calculated from XPS data is 9.4, which is close to the actual ratio (9.7) of carbon and sulfur in a TSA molecule. This confirms the presence of TSA on the sample surface. The copper-tsa complex (Cu-C 7 H 4 O 2 S) would have an elemental ratio of Cu: C: O: S of 1: 7: 2: 1. The atomic concentration obtained from the XPS spectrum gives a ratio of Cu: C: O: S = 1: 6: 1.8: 0.6.

208 207 (a) (b) Figure 4.27: XPS spectra of (a) as received TSA solid and (b) copper exposed to solution containing 0.01 M TSA.

209 208 Table 4.6: Atomic concentrations of elements detected in TSA standard and on copper sample exposed to 0.01 M TSA solution. Element Binding Atomic Concentration (%) Energy (ev) TSA standard Copper exposed to TSA Cu C O S Figure 4.28: XPS spectra of Cu 2p 1/2 and 2p 3/2 peaks showing presence of cupric states on the surface.

210 209 The XPS data is in reasonable agreement with the elemental ratios calculated from the molecular formula. This proves the presence of 1:1, Cu-TSA compound on the sample surface. The higher amount of copper is most likely due to the penetration of X-rays into the bare copper below the Cu-TSA surface. Figure 4.28 presents the expanded view of Cu 2p peaks showing the presence of distinct copper 2p 3/2 and 2p 1/2 peaks. The peak corresponding to Cu + (cuprous) state at binding energy of ev is absent. The 2p 3/2 peak occurring at binding energy of ev indicates the presence of Cu 2+ (cupric) states on the surface. This shows that the majority of copper detected is in the cupric state, due to cupric-tsa complex. The 2p 1/2 peak occurring at ev corresponds to copper present in the ground state (Cu 0 ). The detected ground state copper is most likely due to the penetration of X-rays below the cupric-tsa layer on the surface, as surmised earlier. These data support the literature reports, which indicate formation of cupric-tsa complex [4.1]. The exact nature of bonding between the copper surface and TSA can be determined by an evaluation of XPS spectra for carbon 1s, oxygen 1s, and sulfur 2p peaks. The spectra were collected on two different surfaces; the first spectrum was collected from the surface directly transferred from the ethanol solution to the vacuum chamber. In the second case, the sample surface was washed with ethanol, before recording the spectrum. The spectra for carbon (C 1s), oxygen (O 1s), and sulfur (S 2p) peaks are shown in Figure 4.29 (a), (b), and (c) respectively. For comparison purposes, the spectrum of carbon 1s and sulfur 2p peaks recorded for as received TSA is also shown. It can be seen that the carbon 1s spectrum shows two peaks. The peak occurring at binding energy of ev

211 210 corresponds to the carbon in the aromatic ring, while that occurring at 290 ev corresponds to the carbon in the carboxyl group (-COOH). It is clear that the spectrum collected from the unwashed surface is exactly similar to that recorded for as-received TSA. However, the spectrum collected from the washed surface (Figure 4.29(a)) shows that the peak due to carbon in the carboxyl group shifts to a lower binding energy from 290 ev to ev. This shift in binding energy is attributed to the bonding of the carboxyl group to the copper surface. There is no shift observed for the aromatic carbon. In the case of oxygen, the spectra for the unwashed surface and the TSA are almost identical. When the surface is washed, the peak split disappears and the peak shifts to lower binding energy of ev. This indicates bonding between the copper and the oxygen in the carboxyl group. Similarly, for sulfur, the spectrum for the unwashed surface with a characteristic sulfur 2p 3/2 and 2p 1/2 split is identical to that for TSA. In the case of an washed surface, the sulfur 2p split disappears and a broad peak at lower binding energy of ev appears. This indicates that in addition to the carboxyl group, copper is also bonded to the sulfur in sulfhydryl group (- SH). The attachment of the TSA molecule to the copper surface is schematically shown in Figure Since the cyclic voltammetry experiments indicated that TSA oxidizes at a potential of 800 mv vs. SCE, XPS measurements were carried out on the oxidized TSA film to confirm the disulfide bonding. The film was prepared by polarizing copper film exposed to 0.01 M TSA at ph 4 under nitrogen atmosphere, at a potential of 800 mv vs. SCE for 5 minutes. The film was transferred from an alcohol solution to the vacuum chamber, just

212 211 (a) (b) Figure 4.29: XPS spectrum of (a) carbon (C 1s) peaks and (b) oxygen (O 1s) peaks for copper surface (washed with ethanol) exposed to 0.01 M TSA and. For comparison, as received TSA standard spectrum is also shown.

213 212 (c) Figure 4.29 (c): XPS spectrum of sulfur 2p peaks for copper surface (washed with ethanol) exposed to 0.01 M TSA and. For comparison, as received TSA standard spectrum is also shown. Figure 4.30: Attachment of TSA molecule to the copper surface.

214 213 before recording the spectra. Since the interest was to characterize disulfide bonding, special attention was given to the spectrum of sulfur 2p peaks. It has been reported [4.9] that if a disulfide bonding exists, the sulfur 2p peak would shift to higher binding energy. In addition, a typical disulfide peak is very rough in shape. Figure 4.31 shows the spectrum with sulfur 2p peaks, obtained from the oxidized TSA film on copper. The spectrum was recorded before and after sputtering of TSA film with argon ions. It may be seen that before sputtering the sulfur 2p peak occurs at binding energy of ev. This peak is due to an un-oxidized TSA molecule adsorbing on the oxidized film. It is important to note that there is a time lag (of about 2 to 3 minutes) during disassembling of the sample/set-up from the electrochemical cell and transferring to the alcohol solution. During this duration, the oxidized film remains exposed to the TSA solution, which is perhaps responsible for adsorption of TSA molecules on the oxidized film. Once the top layer is sputtered away, the spectrum arising from the lower layer shows the sulfur peak shifting to a higher binding energy of ev. This shows evidence of disulfide bonding. Thus, it is clear that oxidation of TSA results in disulfide formation. It can be concluded from these results that when copper film is exposed to TSA solution, copper complexes with TSA to form a cupric-tsa film on the surface. In the film, copper forms a bond with the oxygen (in carboxyl group) and sulfur (in sulfhydryl group). This cupric-tsa film forms the first layer, which is followed by adsorption of TSA molecules. When copper is polarized at or above 800 mv, the TSA molecules are

215 214 oxidized to form a disulfide film. The complete structure of TSA film on the copper surface is shown in Figure 4.32.

216 215 Figure 4.31: XPS spectrum of sulfur 2p peaks obtained from oxidized TSA film shown disulfide formation. Figure 4.32: Complete structure of TSA film on the copper surface.

217 Mechanism of Passivation Based on the results obtained from cyclic voltammetry and XPS measurements, the mechanism of passivation of copper by thiosalicylic acid (TSA) can now be proposed. In addition, the mechanism of passivation by benzotriazole (BTA) will be compared with that by TSA. Figure 4.38 shows a schematic representation of the proposed mechanism. It may be seen that in the absence of any inhibitor, copper actively dissolves in oxalic acid solution. The dissolution rate (as shown earlier by abrasion experiments) increases with overpotential. At lower overpotentials ( 500 mv), passivation of copper by TSA occurs in two steps. When a copper surface is exposed to oxalic acid solution containing TSA, rapid adsorption of TSA occurs on the copper surface as shown in Figure QCM and XPS experiments reported earlier have shown that the adsorption of TSA is accompanied by the simultaneous chemical bonding between copper and TSA to form a cupric-tsa passive layer. This is followed by the physisorption of TSA on the cupric-tsa layer. The resulting film inhibits copper dissolution in oxalic acid solution. At higher overpotentials (> 500 mv), the adsorbed TSA molecules are oxidized to form a disulfide. This occurs by fusion of TSA molecules, which results in the formation of a insoluble disulfide film. Electrochemical experiments reported earlier have shown that the disulfide film remains stable at high anodic overpotentials and inhibits copper dissolution. In the case of BTA, at lower overpotentials ( 500mV), the mechanism of passivation is similar to that by TSA. When a copper surface is exposed to solution containing BTA, rapid adsorption of BTA molecules occurs on the copper surface to form a passive film. It

218 217 has been reported [4.10] that the passive film is actually a cuprous BTA film. This is followed by physisorption of BTA on the cuprous-bta monolayer. However, unlike TSA, at higher overpotentials (> 500mV), no oxidation of BTA occurs and the passive film tends to break, thereby exposing the underlying copper, resulting in dissolution. This has also been shown in Figure 4.33.

219 Figure 4.33: Schematic representation of proposed mechanism. 218

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Dissolution, corrosion and environmental issues in chemical mechanical planarization of copper

Dissolution, corrosion and environmental issues in chemical mechanical planarization of copper Dissolution, corrosion and environmental issues in chemical mechanical planarization of copper Item Type text; Dissertation-Reproduction (electronic) Authors Tamilmani, Subramanian Publisher The University

More information

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.319 ISSN(Online) 2233-4866 The Effect of Inhibitors on the Electrochemical

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

TRIBO-ELECTROCHEMICAL CHARACTERIZATION OF TANTALUM DURING ELECTROCHEMICAL-MECHANICAL POLISHING (ECMP) A Dissertation FENG GAO

TRIBO-ELECTROCHEMICAL CHARACTERIZATION OF TANTALUM DURING ELECTROCHEMICAL-MECHANICAL POLISHING (ECMP) A Dissertation FENG GAO TRIBO-ELECTROCHEMICAL CHARACTERIZATION OF TANTALUM DURING ELECTROCHEMICAL-MECHANICAL POLISHING (ECMP) A Dissertation by FENG GAO Submitted to the Office of Graduate Studies of Texas A&M University in partial

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing Journal of The Electrochemical Society, 153 6 B193-B198 2006 0013-4651/2006/153 6 /B193/6/$20.00 The Electrochemical Society Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

n region. But, it is a bit difficult

n region. But, it is a bit difficult VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 31 Problems in Aluminium Metal Contacts So, we have been discussing about the

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Advanced Metrology for Copper/Low-k Interconnects

Advanced Metrology for Copper/Low-k Interconnects Advanced Metrology for Copper/Low-k Interconnects Executive Summary The semiconductor industry s continued push to reduce feature size and increase circuit speed has resulted in a global race to reinvent

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

DEVELOPMENT OF ELECTROLESS PROCESS FOR DEPOSITION OF ZN SILICATE COATINGS

DEVELOPMENT OF ELECTROLESS PROCESS FOR DEPOSITION OF ZN SILICATE COATINGS REPORT OF THE FINAL PROJECT ENTITLED: DEVELOPMENT OF ELECTROLESS PROCESS FOR DEPOSITION OF ZN SILICATE COATINGS by Veeraraghavan S Basker Department of Chemical Engineering University of South Carolina

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer S. Noyel Victoria a, Josiah Jebaraj Johnley Muthuraj b, Ian Ivar Suni b,c,* and S. Ramanathan a,*,z a Department of Chemical Engineering,

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the

Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the Current Materials Accomplishments till date As the structural

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS Proceedings VMIC 23 (Twentieth Int. VLSI Multilevel Interconnection Conf), Marina Del Rey, CA, Sept. 23, pp. 267-276. CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal Growth and Wafer Fabrication K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal growth Obtaining sand Raw Polysilicon Czochralski Process

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

Supplementary Figure 1. Schematic for the growth of high-quality uniform

Supplementary Figure 1. Schematic for the growth of high-quality uniform Supplementary Figure 1. Schematic for the growth of high-quality uniform monolayer WS 2 by ambient-pressure CVD. Supplementary Figure 2. Schematic structures of the initial state (IS) and the final state

More information

Novel Mn 1.5 Co 1.5 O 4 spinel cathodes for intermediate temperature solid oxide fuel cells

Novel Mn 1.5 Co 1.5 O 4 spinel cathodes for intermediate temperature solid oxide fuel cells Novel Mn 1.5 Co 1.5 O 4 spinel cathodes for intermediate temperature solid oxide fuel cells Huanying Liu, a, b Xuefeng Zhu, a * Mojie Cheng, c You Cong, a Weishen Yang a * a State Key Laboratory of Catalysis,

More information

Localized corrosion effects and modifications of acidic and alkaline slurries on copper chemical mechanical polishing

Localized corrosion effects and modifications of acidic and alkaline slurries on copper chemical mechanical polishing Applied Surface Science 210 (2003) 190 205 Localized corrosion effects and modifications of acidic and alkaline slurries on copper chemical mechanical polishing Tzu-Hsuan Tsai, Shi-Chern Yen * Department

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

Electrochemical Behavior of Aluminum during Chemical Mechanical Polishing in Phosphoric Acid Base Slurry

Electrochemical Behavior of Aluminum during Chemical Mechanical Polishing in Phosphoric Acid Base Slurry Journal of The Electrochemical Society, 147 (1) 149-154 (2000) 149 Electrochemical Behavior of Aluminum during Chemical Mechanical Polishing in Phosphoric Acid Base Slurry Hong-Shi Kuo and Wen-Ta Tsai

More information

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 SUPPLEMENTARY INFORMATION A Functional Micro-Solid Oxide Fuel Cell with

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information