Integration/Reliability Issues for Cu/low-k BEOL Interconnects

Size: px
Start display at page:

Download "Integration/Reliability Issues for Cu/low-k BEOL Interconnects"

Transcription

1 IEEE Workshop on Microelectronics and Electron Devices, April 3, 2009, Boise Integration/Reliability Issues for Cu/low-k BEOL Interconnects Daniel C. Edelstein IBM Fellow and Manager, BEOL Technology Strategy IBM T. J. Watson Research Center, Yorktown Hts., New York 2007 IBM Corporation

2 Outline From an integration perspective, discuss scaling impacts on reliability of: Metals Insulators Chip-Package Interaction (CPI) Summarize for each key issues, proposed solutions, key metrics, analytical techniques 2 D. Edelstein, April 3, IBM Corporation

3 Outline Technology Map Underfill Crackstop Chip-Package 45 nm 90 nm Vacuum ( Air- ) Gaps Cap reliability Dielectric-Cap adhesion Dielectric reliability Via/line interface Liner-seed and Cu fill Cu electromigration 3 D. Edelstein, April 3, IBM Corporation

4 Summary Metals Issues: Cu gapfill, Cu grain growth, electromigration scaling, new materials in liner/seed Proposed solutions: Noble-like metal in liner, selective metal cap, Cu-alloy seed Critical Metrics: Via yields, Cu resistivity, defectivity, electromigration, stressmigration Analytical: Cu/barrier interfacial diffusivity and E a, Cu grainsize, metal interdiffusions, galvanic potentials, TEM/EELS, tomography, other microprobes Insulators Issues: Low-k mechanical and dielectric strengths, process damage, damascene pattern integrity Proposed solutions: Incremental k reduction, re-optimization of film properties, modify skeleton Critical metrics: Patterning, wire C, I-V, Vbr, TDDB, tensile stress, modulus, chip-package reliability Analytical: Electrical, mech. modeling, modulus/hardness, adhesion, fracture toughness, pore size, porosity, plasma-induced damage Chip-Package Issues: TCE mismatches, tensile low-k, weak low-k and pkg. polymers, larger chips, Pb-free C4 s Proposed solutions: Tough crackstop, maximized low-k strength and adhesion, ideal underfills, chip-join thermal budgets, reduced-tce laminates (cores), compliant leads, Si Carrier Critical metrics: Deep thermal cycle reliability, T/H/B, etc., underfill toughness and fatigue Analytical: Sonoscan, failure analyses techniques, polymer Kc and fatigue 4 D. Edelstein, April 3, IBM Corporation

5 Acknowledgements This work was done by countless colleagues from IBM and our R&D Alliance partners, at: T. J. Watson Research Center, Yorktown Hts., NY Nanotechnology Center, Albany, NY Semiconductor and Packaging R&D Centers, E. Fishkill, NY 5 D. Edelstein, April 3, IBM Corporation

6 Data Section IEEE WMED 6 D. Edelstein, April 3, IBM Corporation

7 Impact of Electron Scattering in Nanoscale Cu Wires Extracted Cu Resistivity vs. Wire Cross-Sectional Area 3.6x x x10-6 ρ = RA Cu L 3-4 TEMs per datapoint Data fit to: ρ = ρ o (1+Cλ/A) a) b) M1 Cu ρ ( Ω -cm ) 3.0x x x x10-6 ITRS 32 nm node ρ~3.9 µωcm ITRS 45 nm node ρ~3.0 µωcm ρ o = 2.01 ± 0.02 E-6 Ω-cm C = 580 ± 45 Ωcm. nm λ = 3 nm Able to predict ρ for future technology nodes c) 2.2x x10-6 ITRS 65 nm node ρ~2.5 µωcm 1.5x Line Area (nm 2 ) L. Gignac, AMC 2007 Cu resistivity increases as Cu wire area decreases. Cu grain size does not influence ρ greatly when grain size > electron mean free path. In the above case, surface scattering is the major factor. TCR method in literature overestimates ρ. 7 D. Edelstein, April 3, IBM Corporation

8 Extendibility Problem: Electromigration Lifetime Reduction Scaling in Cu Damascene Lines Interfacial diffusion SiCNH Void surface gb diffusion Marker Velocity Interfacial diffusion Ta 1 Grain-boundary diffusion degrades Cu electromigration reliability in nanowires t 50 /t 50 (1.3x0.9) 0.1 Theory liner Void h Cu e t 50 (h) 10 3 Wf B w(µm) Q EM (ev) Cu linewidth, grain size Blocking material L cr xh (µm 2 ) C-K. Hu, IRPS 2004 and IITC Top Cu/cap interface dominates E-M diffusion for bamboo lines Scaling trend is predictable by geometry, with no adjustable parameters Lifetime drops as dimension shrinks now dropping at 50%/generation At the same time, chip current density requirements scale up Cu lines at 45 nm node and beyond have reduced bamboo grains further impact 8 D. Edelstein, April 3, IBM Corporation /T (10-4 K -1 )

9 Approaches to Recover Cu Electromigration Reliability vs. Scaling Bamboo (Cu grainsize solved) Non-Bamboo (Cu grains not solved) Selective Metal Cap (Shut down top-surface Cu diffusivity) e.g. electroless CoWP impacts: leakage, TDDB, manufacturability Flash noble liner layer on TaN/Ta (Increase Cu grain growth?) e.g. PVD (Ta)Ru, CVD-Ru or Co impacts: reduced Cu volume %, galvanic corrosion potential, CMP difficulties Doped Cu seed (Stuff small Cu grain boundaries) e.g. Cu(Al) impacts: Cu resistivity, linewidth-dependence, dilute alloy control in mfg. 9 D. Edelstein, April 3, IBM Corporation

10 One Solution to Cu Electromigration Scaling Problem: Selective Metal Caps example: electroless CoWP For bamboo lines: Selective top metal cap can eliminate EM issue completely Activation energy can reach to bulk Cu values Current densities are then limited to much higher values by rms joule heating For small-grained lines: Grain boundary diffusion may still dominate electromigration lifetimes C-K. Hu, IRPS 2004 Challenges: Remedy insufficient Cu recrystallization or Cu grain boundary diffusion (e.g. by alloy) Achieve manufacturable selective metal capping process with a uniform ~few nm cap, and no impact to shorts yield, line-line leakage, or dielectric breakdown reliability 10 D. Edelstein, April 3, IBM Corporation

11 Selective CVD-Ru Metal Cap Opens and Shorts Yields Blanket Deposition Rates TDDB Reliability Microanalysis Selectivity Performance Electromigration Reliability Test Chips C-C. Yang, et al. submitted to IITC D. Edelstein, April 3, IBM Corporation

12 Another Approach - Cu-Alloy Seedlayer for E-M Enhancement Electromigration Cu Resistivity Pileup of Al dopant at Cu/cap interface reduces fast-path Cu E-M Adding certain dopants to the Cu seedlayer can enhance E-M reliability but at the expense of Cu resistivity and linewidth-dependence and other potential mfg. control issues Need to determine best dopant and its % in Cu for optimal R vs. E-M. Numerous candidates studied over the years, most rejected Aluminum has emerged as a good candidate Several companies have adopted Cu( 1 at.% Al) in production since 65/45 nm S. Yokogawa, et al., IEEE IRPS D. Edelstein, April 3, IBM Corporation

13 Cu Metallization Gapfill Extendibility Problem Via yield and reliability are based on liner/seed/plating process window that is determined by Cu seed process window, comprised of: Reactive Ion Etch (RIE) patterning Liner pinch-off above, and continuity below Seed pinch-off above, and continuity below Plating (seed etching and superfill rates) 13 D. Edelstein, April 3, IBM Corporation

14 Extendibility of Cu Damascene Metallization: Add Noble Metal to Ta-Based Barrier ECD-Cu PVD-Cu PVD Ta(Ru) or CVD-Ru PVD-Ta PVD-TaN PVD PVD PVD BEOL: Nogami et al., AMC 2008 TaN/Ta TaN/Ta 0.3 Ru 0.7 TaN/Ta 0.1 Ru 0.9 PVD PVD PVD/CVD BEOL: Yang et al., IITC 2006 Cu contacts: Seo et al., AMC 2008 TaN/Ta TaN/Ta 0.1 Ru 0.9 TaN/Ta/Ru Retain ECD-Cu gapfill process window and Cu reliability with minimal integration disruption Retain high-integrity PVD-Cu/barrier interface for electromigration (e.g. Ta/Cu, not Ta 2 O 5 /Cu) Increase thin Cu seed wettability and continuity in small, high a.r. features Provide good plating nucleation inside Cu-seed discontinuities Minimal impacts to plating (terminal effect), CMP, Cu fill volume (Cu line resistance) New concerns for galvanic corrosion, Cu/barrier adhesion and electromigration 14 D. Edelstein, April 3, IBM Corporation

15 Cu Electromigration Performance with TaN/TaRu Barrier Cumulative Failure Probability(%) T = 300 o C, I = 0.10 ma V1 to M2 TaN/Ta TaN/TaRu90% TaN/Ta Lifetime (au) TaN/TaRu90% More than 3X longer lifetimes Recovered normal reliability by fixing defective Cu gapfill 15 D. Edelstein, April 3, IBM Corporation

16 Testing New Barrier Properties Oxidation Barrier Test (310C/8 days in air) TaRuN90%/TaRu90% TaRuN70%/TaRu70% Cu+ Barrier Test (Triangular Voltage Sweep, 300C, 1 MV/cm TaRuN90% TaRuN70% TaRu90% Cu is oxidized TaN/TaRu90% Cu is oxidized TaN/Ta TaRu70% Ta TaN Ta, TaN >> TaRu70% > TaRuN70% > TaRu90% > TaRuN90% No Cu oxidation No Cu oxidation TaN/Ta = TaN/TaRu90% >> TaRuN/TaRu PVD TaRu(N) films do not fully block Cu + or O 2 diffusion. PVD TaN and/or Ta is required underneath TaRu. 16 D. Edelstein, April 3, IBM Corporation

17 Extendibility of the Most Reliable Via/Line Contacts 90 nm CMOS 65 nm CMOS 45 nm CMOS ULK with new process ULK with old process 99.9 V2 -> M2; T50 = hrs. σ = low stress low stress percentile stress gradient max stress stress gradient max stress C-C. Yang, et al., AMC (2008) Cu is 500 X better than Al(Cu) at 300C Stress Failure Time (hrs.) D. Edelstein, et al., IEEE IRPS (2004). A. Fischer, et al., IEEE IRPS (2007). 17 D. Edelstein, April 3, IBM Corporation

18 Advanced Dielectrics Roadmap: current IBM status 90nm Technology nodes 45nm 65nm 32nm 22nm 15nm SiCOH k=3.0 SiCOH k=2.7 PECVD Films psicoh k=2.4 psicoh k=2.2 psicoh k=2.0 Implementation Availability A. Grill, AMC 2007 and MRS 2009 Leading development and implementation of industry s most advanced low-k and ultralow-k PECVD dielectrics 18 D. Edelstein, April 3, IBM Corporation

19 Low-k and Ultralow-k Time-Dependent Dielectric Breakdown (TDDB) Low-k obeys root-e kinetics at least, and may be more robust Cu reduces lifetimes and plays an important role Moisture is bad Line-edge roughness affects lifetimes J. Lloyd, AMC D. Edelstein, April 3, IBM Corporation

20 Methodology of Fracture Mechanics excerpts from X-H. Liu, MRS 2009 invited talk G < Γ G: energy release rate G: fracture strength structure and geometry chemical bonding film stress interaction with environment thermal expansion and modulus channel cracking cohesive vs. adhesive failure delamination BEOL film fabrication chip packaging interaction Issue: Low-k C-doped SiOx glass films, the industry de facto standard, are unfortunately always tensile-stressed and have relatively low moduli and fracture toughnesses X.H. Liu et al, IITC (2004) AMC (2004) 20 D. Edelstein, April 3, IBM Corporation

21 Low-k Insulators Strengthening Low-k and Ultralow-k SiCOH Interfacial Region Historically, chronically low SiCOH adhesion results Typical pretreatments did not improve Discovered weak nucleation layer at interface Solution became clear graded nucleation Dramatic adhesion improvement, approaching ~bulk cohesive strength adhesion layer SiCHN Si Bulk SiCOH Extendible to ultralow-k porous SiCOH (not trivial) Identifiable by TOF-SIMS profiles of thin samples SiCOH Version G = 2.1 J/m 2 Control - no optimization Bulk-only SiCOH (J/m 2 ) Adhesion Strength Substrate Treatment (J/m 2 ) G = 5.0 J/m 2 With optimization Optimized Transition (J/m 2 ) Cohesive Strength (J/m 2 ) k= k=2.7 k= n/a A. Grill et al., MRS Spring D. Restaino et al., AMC S. Molis et al., SIMS Workshop D. Edelstein, April 3, IBM Corporation

22 Effect of Multilevel Metallization X-H. Liu, MRS 2009 invited talk fat wires thin wires substrate crack arrest on cap channel crack propagation Energy Release Rate Normalized Multiple metal levels Single metal level 0.0 Large enhancements in G possible with patterned metal under layers J.M. Ambrico, E.E. Jones, and M.R. Begley, (2002) Int. J. Solids and Struct, 39, pp Gap Width Normalized 22 D. Edelstein, April 3, IBM Corporation

23 Effects of Caps X-H. Liu, MRS 2009 invited talk Cap stiffness is important to prevent cracking. 50 varying modulus varying thickness 45 G max /G Cap layer acts like a spring holding the metal pads together. Strength of spring is proportional to the cap thickness X Cap Modulus Modulus x Thickness (knm) 23 D. Edelstein, April 3, IBM Corporation

24 Spontaneous Cracking X-H. Liu, MRS 2009 invited talk 4 Levels in psicoh Spontaneous cracking observed in structures with a narrow gap. Tunneling cracks may form in the SiCN cap dielectric. Si SiO 2 4 levels in SiCOH 24 D. Edelstein, April 3, IBM Corporation

25 Finite Element Modeling of ULK Films in BEOL Structures To optimize ULK mechanical properties and wiring groundrule limits, and compute maximum strain energy release rate before channel cracking 4X EB 2X B2 M3 1X Film Stress Normalized Channel Cracking X.Liu et al., ASME 2006 Energy release rate (crack driving force) increases with # levels in stack Most sensitive to (tensile) stress, somewhat sensitive to modulus 1 Cohesive Strength Of Dielectric Safe Reduce ULK film stress and increase modulus to avoid cracking D. Edelstein, April 3, IBM Corporation 4 5 Modulus Normalized Energy Release Rate Normalized E σ = σ int + α T 1 υ G=A σ 2 h/e

26 Fundamental SiCOH Toughness Current (O)SG Universal Trend Universal curve determined by Si-O bond density 12.0 Cohesive Strength, Γ (J/m 2 ) Nominal Dielectric Constant, k Goal: Approach: Exceed universal curve for tougher PECVD ULK psicoh Reduce sensitivity to plasma-induced damage Improve molecular skeleton with Si-C-Si bonding but don t go too far! 26 D. Edelstein, April 3, IBM Corporation

27 DEMS BCHD IEEE WMED Ultralow-k Porous SiCOH Extendibility: Increase Cohesive Strength and Reduce Resistance to Plasma Damage Skeleton Precursor 2 PECVD 300 mm Production tool UV cure 300 mm Production tool Skeleton 1: DEMS (diethoxymethylsiloxane), strong Si-O x-linking, efficient porogen incorp. Porogen: BCHD (bicycloheptadiene) incorporates very efficiently, widely tunable k. Skeleton 2: (proprietary), adds Si-CH2-Si bonding for smaller pores, reduced plasma damage, increased toughness. k 2.4 pore size distributions from EP k 2.4 k 2.2 Property V1 V2 V1 V2 Modulus [Gpa] Stress [Mpa] Interface strength [J/m2] Porosity (%) dv/d(ln R) V1.0 Film Pore diameter (nm) 27 D. Edelstein, April 3, 2009 S. Gates, et al., AMC (2008) IBM Corporation

28 Advanced Porous SiCOH, K=2.2, at 32 nm Node 1x Dimensions K 2.2, V1 K 2.2, V2 K 2.2, V2 Effects of adding Si-C-Si bonding to Si-O-Si matrix Less pore connectivity, more Si-C bonds to break for damage less plasma-induced damage Average line width reduced Trench bottoms improved Leakage current reduced Net reduction in line capacitance for same k of film 28 D. Edelstein, April 3, 2009 S. Gates, et al., AMC (2008) IBM Corporation

29 Advanced Bilayer Low-k Cap Film SiCNx Low-k Adv barrier o C 331 o C 302 o C 256 o C 218 o C SiCNx Adv. SiCNy Cu Cumulative Failure Probability (%) Passes E-M, S-M, and TDDB tests Relative Concentration (%) Passes O2 Barrier test Air barrier properties confirmed Si2.ls1 O1.ls1 C1.ls1 Cu1.ls1 τ (h) Achieves required keff reduction -5% N1.ls Sputter Time (min) 29 D. Edelstein, April 3, 2009 G. Bonilla, et al., AMC (2008) IBM Corporation

30 Airgap Technology Multilevel Airgap Wiring Demonstration on Advanced 65 nm Production Microprocessor 8x 8x 4x 2x 4x 2x 1x 1x Airgap process schemes applied to all Cu wiring levels above M1. Lithographic slots on larger (2x and above) levels Self-assembly sublithographic patterning for minimum (1x) levels No changes to standard Cu wiring process flow, fab tooling, or materials. Yield, performance, reliability, and modeling predictions demonstrated. 30 D. Edelstein, April 3, IBM Corporation

31 Comprehensive Airgap Performance/Reliability Assessment Capacitance modeling C reduction can be optimized to -40% CPU tuned performance estimates ~10% Fmax, ~15% active pwr Mechanical modeling Gap calculations find low cracking risk Thermal modeling sets current limits very small gap impact is calculated Electromigration good preliminary results Time-dependent dielectric breakdown good preliminary results Chip-package reliability stressing no issues in preliminary tests CDF (%) Ungapped (Green) Gapped (Red) 1.00E Time [hrs] Sonoscan after 1000 deep thermal cycles shows no fails We have seen some good preliminary indicators for manufacturability Need more extensive data, models, process windows for qualification 31 D. Edelstein, April 3, IBM Corporation

32 1. Corner Underfill Delam./Crack 2. C4/BEOL Delam. 3. BEOL Edge Delam. 4. Chip Fracture 32 D. Edelstein, April 3, IBM Corporation

33 1. Corner Underfill Delam/Crack 2. C4/BEOL Delam. Worst for ultra-large chips on organic laminates Increases with chip-size Cracks circumvent chip crackstop Fatal, independent of BEOL materials Limitations on available underfills, laminates Mitigation strategies required Flat vs. chip size above ~0.5 cm Worse for Pb-free C4 Can fail at weakest BEOL interface Mitigation strategies required 3. Edge BEOL Delamination Chip Fracture Chip-size, dicing, and BEOL low-k, ULK dependent Solved by tough crackstop and optimized dicing Crackstop toughness >> ULK, Si, SiO2, etc. Low-k and ULK optimized for adhesion/cohesion Primarily when chips are thinned Dicing and handling defects + stress Increases with chip size Fatal, independent of BEOL materials Mitigation strategies required 33 D. Edelstein, April 3, IBM Corporation

34 Crackstop Problem Overview(After Robert Cook 1994) X-H. Liu, MRS 2009 invited talk Si G, Γ Energy of dicing cracks during dicing process Maximum energy of delamination during DTC Si toughness Crackstop toughness position Interfacial adhesion (limited by ILD cohesive strength) 34 D. Edelstein, April 3, IBM Corporation

35 Method to Improve Crackstop Toughness X-H. Liu, MRS 2009 invited talk fibre toughening molding compound oxide ILD Silicon substrate 35 D. Edelstein, April 3, IBM Corporation

36 Measurement of Crackstop Effective Toughness Load Displacement for Multilevel ULK Build Isolated widths of crackstop structure 4-pt. bend load cell Crackstops Load (lbs) Delamination initiates Delamination pinned at loading points Delamination traveling In the unreinforced area 8-10 J/m2 4 J/m2 Delamination hits Crack stops Notch position Time (Secs) Produce chip with large areas of crackstop structure Mask designs includes multiple isolated crackstop designs 4 point bend test gives toughness of individual designs Load for failure of crack stop used to determine optimum design Fracture Energy Calculation: (1 ν ) Pc L G = Eb h T. Shaw et al., IITC D. Edelstein, April 3, IBM Corporation

37 Solving Cu/Low-k and Ultralow-k Chip-Package Interaction (CPI) Reliability example: 45 nm CMOS with low-k and ultralow-k wiring levels C4 BEOL Si Delaminations observed No Delaminations observed after dicing optimization Relative Toughness Test chip Flip chip Wirebond Packaging MLC-LGA FCPBGA EPBGA Crackstop Toughness Interface CS1 CS2 Deep thermal cycle stress 0 fails after 1000 cycles of -55/+125C stress. 0 fails after 1800 cycles of -40/+125C stress. 0 CPI fails after 1000 cycles of -55/+125C Fundamental mechanical solutions enable ULK (k=2.4) to pass comprehensive CPI: Extensive finite-element modeling Optimized dicing Improved crackstop toughness Improved ULK adhesion S. Sankaran et.al., IEEE IEDM (2006). 37 D. Edelstein, April 3, IBM Corporation X25 Mold Si Mold Si Oxide SiCOH BPSG

38 Examples of Chip-Corner/Underfill Fail Mode Modeling is well understood for corner stresses, but not underfill fatigue Crack dives into BEOL structure damage found on multiple layers 38 D. Edelstein, April 3, IBM Corporation

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Packaging Effect on Reliability for Cu/Low k Damascene Structures* Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX 78712 * Work supported by SRC through the CAIST Program TRC 2003

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

via into the critical line above. A 20% resistance increase defines the failure of the test structure. Electromigration and Stressmigration Failure Mechanism Studies in Copper Interconnects Armin H. Fischer and Alexander von Glasow Reliability Methodology, Infineon Technologies AG, Munich Abstract Electromigration

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Dr Eb Andideh Intel Corporation Logic Technology Development Contact: ebrahimandideh@intelcom 1 Disclaimer

More information

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

Implications of Stress Migration and Voiding in Cu Damascene Interconnections Implications of Stress Migration and Voiding in Cu Damascene Interconnections E. T. Ogawa and J. W. McPherson Texas Instruments, Inc. Dallas, TX USA 22 Topical Research Conference (TRC) on Reliability,

More information

Evaluation of Cantilever Probe-Induced Dielectric Cracks in Cu/Low-k Devices

Evaluation of Cantilever Probe-Induced Dielectric Cracks in Cu/Low-k Devices Evaluation of Cantilever Probe-Induced Dielectric Cracks in Cu/Low-k Devices C.D. Hartfield, D. Stillman, J. Aronoff Texas Instruments, Dallas, TX SouthWest Test Workshop - 2004 Outline Objectives Background

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Electromigration Improvement for Advanced Technology Nodes

Electromigration Improvement for Advanced Technology Nodes 269.1149/1.3096461 The Electrochemical Society Electromigration Improvement for Advanced Technology Nodes Hui-Jung Wu, Wen Wu, Roey Shaviv, Mandy Sriram, Anshu Pradhan, Kie Jin Park, Jennifer O Loughlin,

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures E.G. Liniger, T.M. Shaw, S.A. Cohen, P.K. Leung*, S.M. Gates, G. Bonilla, D.Canaperi*, S. Papa Rao IBM T.J. Watson Research Center, 1101

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Electromigration behavior of 60 nm dual damascene Cu interconnects

Electromigration behavior of 60 nm dual damascene Cu interconnects JOURNAL OF APPLIED PHYSICS 102, 093516 2007 Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research

More information

Materials Perspective on Interconnects

Materials Perspective on Interconnects Materials Perspective on Interconnects David R. Clarke Materials Department, College of Engineering University of California, Santa Barbara Interconnects are Communication Networks Latency vs Line Length

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

INTERNATIONAL TECHNOLOGY ROADMAP

INTERNATIONAL TECHNOLOGY ROADMAP INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2.0 2015 EDITION INTERCONNECT THE ITRS 2.0 IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Predicting the Reliability of Zero-Level TSVs

Predicting the Reliability of Zero-Level TSVs Predicting the Reliability of Zero-Level TSVs Greg Caswell and Craig Hillman DfR Solutions 5110 Roanoke Place, Suite 101 College Park, MD 20740 gcaswell@dfrsolutions.com 443-834-9284 Through Silicon Vias

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd SRC/SEMATECH ERC for Environmentally Benign Semiconductor Manufacturing Depositing and Patterning a Robust and Dense Low-k Polymer by icvd December 11, 2008 Nathan J. Trujillo Karen K. Gleason Anatomy

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 7: BASICS OF THIN FILMS 2004 by LATTICE PRESS Chapter 7: Basics of Thin Films CHAPTER CONTENTS Terminology of Thin Films Methods of Thin-Film Formation Stages

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate JAXA 25 rd Microelectronics Workshop Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate November 2, 2012 Yoshinori Ejiri

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Images of Failures in Microelectronics Packaging and Assembly

Images of Failures in Microelectronics Packaging and Assembly Images of Failures in Microelectronics Packaging and Assembly Ed Hare, Ph.D./SEM Lab, Inc. IMAPS NW - Feb. 11th 2004 Redmond, WA http://www.semlab.com 1 What is this? http://www.semlab.com 2 Inner Layer

More information

Low-K Materials Properties Part 1

Low-K Materials Properties Part 1 Low-K Materials Properties Part 1 By Christopher Henderson, continued from last month Let s move on to the second set of materials properties: the mechanical properties. The materials properties become

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Carbon-fiber Reinforced Concrete with Short Aramid-fiber Interfacial Toughening

Carbon-fiber Reinforced Concrete with Short Aramid-fiber Interfacial Toughening 2016 International Conference on Electronic Information Technology and Intellectualization (ICEITI 2016) ISBN: 978-1-60595-364-9 Carbon-fiber Reinforced Concrete with Short Aramid-fiber Interfacial Toughening

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Reliability Challenges for 3D Interconnects:

Reliability Challenges for 3D Interconnects: Reliability Challenges for 3D Interconnects: A material and design perspective Paul S. Ho Suk-Kyu Ryu, Kuan H. (Gary) Lu, Qiu Zhao, Jay Im and Rui Huang The University of Texas at Austin 3D Sematech Workshop,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Lecture 08 Fracture Toughness and Toughening Mechanisms Ref: Richerson, Modern Ceramic Engineering, Ch17, Marcel Dekker, 1992

Lecture 08 Fracture Toughness and Toughening Mechanisms Ref: Richerson, Modern Ceramic Engineering, Ch17, Marcel Dekker, 1992 MME 467 Ceramics for Advanced Applications Lecture 08 Fracture Toughness and Toughening Mechanisms Ref: Richerson, Modern Ceramic Engineering, Ch17, Marcel Dekker, 1992 Prof. A. K. M. Bazlur Rashid Department

More information

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown Feb. 19 th, 2015 WIMNACT-45 Resistive switching of /SiO 2 stacked film based on anodic oxidation and breakdown K. Kakushima Tokyo Institute of Technology 1 Introduction to resistive RAM (RRAM) Reset OFF

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Integrated Circuit Engineering Corporation EPROM

Integrated Circuit Engineering Corporation EPROM EPROM There was lots of discussion and many technical papers covering the promises of EPROM (typically Flash) at the IEDM conference last December, but here as in the other memory areas, not much in the

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Selective Vapor Deposition

Selective Vapor Deposition Selective Vapor Deposition The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters. Citation Accessed Citable Link Terms of Use Gordon,

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS Paul S. Ho Microelectronics Research Center APS March Meeting 2003 Technology challenges for low k dielectrics Chemical bond and polarizability

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects. Mechanics, University of Texas, Austin, TX 78712

Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects. Mechanics, University of Texas, Austin, TX 78712 Chip-Packaging Interaction and Reliability Impact on Cu/Low-k Interconnects Xuefeng Zhang 1, Se Hyuk Im 2, Rui Huang 2, and Paul S. Ho 1 1 Microelectronics Research Center, 2 Department of Aerospace Engineering

More information