HETEROGENEOUS PACKAGING AND INTEGRATION FOR FUTURE SENSORS OR ELECTRONIC SYSTEMS

Size: px
Start display at page:

Download "HETEROGENEOUS PACKAGING AND INTEGRATION FOR FUTURE SENSORS OR ELECTRONIC SYSTEMS"

Transcription

1 HETEROGENEOUS PACKAGING AND INTEGRATION FOR FUTURE SENSORS OR ELECTRONIC SYSTEMS FRANK ROSCHER, FRAUNHOFER INSTITUTE FOR ELECTRONIC NANO SYSTEMS, CHEMNITZ GERMANY APAC INNOVATION SUMMIT 2017, SMART CITY. CONNECTED CITY. 7-8 SEPTEMBER 2017, HONGKONG Page 1

2 Fraunhofer-Gesellschaft, the largest organization for applied research in Europe 69 institutes and research units 24,500 staff 2.1 billion annual research budget totaling. Of this sum, more than 1.9 billion is generated through contract research Roughly two thirds of this sum is generated through contract research on behalf of industry and publicly funded research projects Roughly one third is contributed by the German federal and Länder governments in the form of base funding Fields of Res earch Production and Supply of Services Health and Env ironm ent Security and Protection Mobility and Trans portation Communication and Know ledge Energy and Res ources Page 2

3 Fraunhofer Worldwide San José East Lansing Plymouth Hamilton London Boston Storrs Newark Gothenburg Stockholm Glasgow Dublin Nijmegen Brussels Enschede Vienna Budapest Bolzano Graz Porto Cairo Lavon Jerusalem Beijing Seoul Ulsan Sendai Tokyo Osaka Bangalore Kuala Lumpur Singapore Salvador Jakarta Campinas São Paulo Santiago de Chile Pretoria Stellenbosch Auckland Subsidiary Center Project Center ICON / Strategic cooperation Representative / Marketing Office Senior Advisor Page 3

4 Facts & Figures FRAUNHOFER ENAS Page 4

5 Fraunhofer INSTITUTE FOR ELECTRONIC NANO SYSTEMS Location Fraunhofer ENAS Founded in 2008 More than 170 employees Bureaus in Paderborn Brazil Japan Shanghai Page 5

6 Fraunhofer ENAS Location Fraunhofer ENAS Founded in 2008 More than 170 employees Bureaus in Paderborn Brazil Japan Shanghai Page 6

7 Fraunhofer ENAS Location Fraunhofer ENAS Founded in 2008 More than 170 employees Bureaus in Paderborn Brazil Japan Shanghai Paderborn Bremen Berlin Hannover Potsdam Magdeburg Teltow Braunschweig Nuthetal Paderborn Oberhausen Cottbus Dortmund Halle Schmallenberg Leipzig Duisburg Schkopau Dresden Sankt Augustin Aachen Ilmenau Jena Euskirchen Chemnitz Saarbrücken Karlsruhe Darmstadt Würzburg Kaiserslautern St. Ingbert Wertheim Pfinztal Itzehoe Lübeck Stuttgart Freiburg München Erlangen Nürnberg Rostock Freising Berlin Chemnitz Efringen- Kirchen Holzkirchen Page 7

8 Fraunhofer ENAS in Chemnitz, Germany Your research partner for smart system integration and MEMS/NEMS International Offices of Fraunhofer ENAS: Since 2001/2005 Since 2012 Since 2002 Since 2007 Tokyo/Sendai, Japan Project-Center in Sendai Shanghai, China Manaus, Brazil Systems integration by using of micro and nano technologies MEMS/NEMS design Development of MEMS/NEMS MEMS/NEMS test System packaging/wafer Back-End of Line technologies for micro and nano electronics Process and equipment simulation Micro and nano reliability Printed functionalities Advanced system engineering Page 8

9 Fraunhofer ENAS Synergetic Campus D C A G I E B H F A Institute of Physics and Center for Microtechnologies B Fraunhofer ENAS G MAIN C Start-up Building H EDC Electronic Design Chemnitz GmbH D Lightweight Structures Engineering I Competence Center IT and Catering E 3D-Micromac AG F Microflex Center Chemnitz Page 9

10 Page 10

11 Sensor Networks where do we need them and what for? Smart cities, Industry 4.0, Smart farming, Smart home, smarter world with less consumption of (natural) resources, less pollution, more wealthy and healthy population Sensing our environment Sensing our body conditions Sensor Network Handling all generated data meaningful Tracking of humans, traffic and animals Analysing the data Sensing our production Enable the right decisions in a timescale from milliseconds to hours, months or years Page 11

12 Sensor Networks enabled by smart system integration Integration & Packaging This has been enabled by the availability (particularly in recent years) of sensors that are Smaller Cheaper and intelligent. MEMS / NEMS Electronic Components Communication Unit These sensors should be equipped with wireless interfaces to enable fully wireless sensor networks Page 12

13 Sensing in a smart world do we have relevant sensors available? High precision 1D and 2D low g accelerometers/inclinometers, wide band vibration sensors and gyroscopes,. Applications: Acceleration measurement Tilt measurement Vibration measurement Condition monitoring Platform stabilization Motion detection (IMU) Gyro compass Picture: Fraunhofer IZM Tunable Fabry Perot Interferometers, MEMS Speaker(concepts), CMUT, Implantable pressure sensors, Applications: Environmental monitoring / alarm systems Spectral gas analysis / Spectral imaging Enhance communication quality in fast changing environment using switch technologies Body condition and patient monitoring Machine Human Interaction (sound, gesture, distance, ) Frank Roscher Slide 13

14 ANALOG/MEMS/SENSORS Some TRENDSETTERS for the SMART WORLD in 2017 Multi Standard Wireless SoC Sulutions RF 2.4GHz transceiver, Bluetooth 4.2, IEEE , Zigbee Situation awareness features by asynchronous pixel sensors Low resolution but low power, high dynamic range SMART FARMING and more 10 year maintenance free sensing and wireless data transfer (temp./moisture/others) by PV energy harvesting Configurable Ics for power management Combine MOSFET, analog and digital circuitry Commercialized piezoelectric MEMS m icrophone Ultra-low noise, high dynamic range Extremely durable Ultrasonic transducers for ges ture recognition Ultra-Low power technology Page 14

15 Electronic Packaging where are we and what will come next From Wafer to Package Wafer Level Processes Wafer Level Bonding/Stacking Dicing Waferlevel and Chiplevel Packaging Methods: Through-hole, surface mount, chip carrier, pin grid, flat packages, small outline and chip scale package, (ew) ball grid arrays, embedded die in PCB, embedded die in laminate,, Materials: metals, plastic, potting, conformal coatings, glop-top, glass, ceramics, PCB, Frank Roscher Slide 15

16 Electronic Packaging where are we and what will come next Source: Yole, 2016, 3DIC and 2.5D TSV Interconnect for Advanced Packaging Frank Roscher Slide 16

17 3D Integration The ultimate 3D solution Source: Web-page Interconnect and Packaging Center, Georgia Institute of Technology Frank Roscher Slide 17

18 Page 18 NEW TECHNOLOGIES

19 3D Integration Fraunhofer ENAS) Through Substrate Vias Monolithic integration Fabrication of MEMS on ASIC wafer Stacking Depending on size of either device Chip to Wafer or Chip to Chip Contacts via TSVs or printing MEMS MEMS Electronics Electroni cs Interposer based Lateral placement of various devices Fine pitch redistribution Integrated passives possible MEMS Interposer Electronics Further Integration will enable electronic systems with higher functionality by having reduced footprint (cost reduction) Page 19

20 Nano Imprint Lithography High Troughput Nanostructures on Waferlevel Master template fabrication by E-Beam lithography Master duplication to working stamps by using polymers UV NIL for fabrication of large-area nanostructures on wafer level Advantages of the technology Parallel working method (batch processing) Nano structuring up to 6 inch round substrates Lateral dimensions: < 50 nm Higher throughput and lower costs than E-Beam Cost reduction to fabricate nanostructures will enable sensors and electronics with reduced footprint and increased functionality Page 20

21 Printed Functionalities Fraunhofer ENAS) Will functional printing influence the way modern electronic systems will be fabricated? Conductive tracks Simple WLAN patch array antenna R2R printed UHF RFID Electrodes on 3D WL Solderpaste on WL micro pump RFID Transponder Coils High performance moisture sensors Printed C2C & C2B Interconnects Complex A fusion of printing technologies and semiconductor processes will enable individualized products in near future Packaging providers will face demands for flexible and stretchable applications Flexible ultrathin battery Page 21

22 Functionalized packages by additive manufacturing Printed multilayer RDL for 3D substrates Combination of printing nanoparticle silver Insulator deposition by room temperature CVD Laser ablation to form vias between conductive layers Scalable and customizable process chain developed and tested Process temperatures < 125 C Suitable for low cost polymers Process flow is transferable to other substrates and geometries Lot size 1 could be realized / design could be changed with each product Reliability investigations show that the combination of Ag nanoparticle Ink and Parylene C enables multilayer mesh approach Functionalized 3D Substrate Page 22

23 Functionalized packages by additive manufacturing Printed multilayer RDL for 3D substrates FIB cross-sections of via area Functionalized 3D Substrate Page 23

24 Ultra Low Impact Chip 2 Chip Interconnection for hybrides Printed Interconnects as alternatives towards wire? 3D capable deposition of conductive nano particle inks to form interconnects between MEMS ELEKTRONICS - BOARD Aerosol-Jet Deposition Nanoparticle Inks for low temperature sintering Realizing short signal and power lines Minimal height impact by interconnects enable direct stacking Page 24

25 System and Die Level encapsulation Die and System level encapsulation at room temperature for more reliable systems Parylene gas phase deposition Parylene layers are extremely thin, pinhole and defect free polymer coatings with a high conformity for a variety of different applications. Properties: Chemically inert, biocompatible / biostable according to FDA and ISO , hydrophobous, excellent barrier against moisture and chemicals, dielectric, optically transparent, thermally stable, low friction coefficient Parylene encapsulated LED in salt water solution Applications: Encapsulation for electronics / PCB against harsh environment Corrosion protection Biocompatible encapsulation for medical implants Flexible substrate Electrical isolation 1 µm Parylene isolation layer in Through silicon via (TSV) Page 25

26 Waferlevel (0 Level) Packaging Chiplevel and Waferlevel General Requirements Low temperatures (< 400 C) Material compatibility Bonding without intermediates layer Permanent wafer Hybrid Bonding with intermediates layer Mechanical stability In most cases: hermetic sealing Minimized frame Direct Surface activated Anodic Metal/oxide Metal/polymer Insulating layer Glass-frit Metal layer Eutectic width Oxide free Adhesive SLID Permanent wafer? Wafer techniques are used in micro-electronics and micro-mechanics to join thin, polished wafers made of different materials together with or without additional intermediate layers. Laser TC Reactive Page 26

27 VIAMOS - Vertically Integrated Array-type Mirau-based OCT System for early diagnostics of skin cancer EU project VIAMOS VIAMOS proposes a miniature, low cost (15 k ), 3D OCT imager combining SS-source OCT detection and MOEMS technologies, providing cross-sectional 3-D tomograms. VIAMOS enables doctors to perform a painless and earlier detection of skin pathologies (i.e. cancer) MOEMS-oriented vertical interconnect technology Wafer level integration of Mirau interferometer by using multi-stack anodic Mirau Interferometer VIAMOS portable OCT system SEM image of a 5 -stack Page 27

28 Waferlevel (0 Level) Packaging Chiplevel and Waferlevel General Requirements Low temperatures (< 400 C) Material compatibility Bonding without intermediates layer Permanent wafer Hybrid Bonding with intermediates layer Mechanical stability In most cases: hermetic sealing Minimized frame Direct Surface activated Anodic Metal/oxide Metal/polymer Insulating layer Glass-frit Metal layer Eutectic width Oxide free Adhesive SLID Permanent wafer? Wafer techniques are used in micro-electronics and micro-mechanics to join thin, polished wafers made of different materials together with or without additional intermediate layers. Laser TC Reactive Page 28

29 Reactive Multilayer Systems Motivation What are irms? irms are nano scale multilayer systems irms are deposited directly onto substrate using PVD or ECD integrated thin films irms are able to generate a selfpropagating heat source internal heating, e.g. for From external towards internal heat source Page 29

30 Room temperature for highly heterogeneous materials Chiplevel and Waferlevel with potential for the Macro world Page 30

31 Room temperature for highly heterogeneous materials Tested Material Combinations and Applications Materials: Aluminum / Silicon Aluminum / Steel Aluminum / Copper Ceramic / Steel Ceramic / Copper Copper / Copper Covar / Silicon GaAs / Silicon Glass / Ceramic Glass / Glass Glass / Silicon LiTaO 3 / Silicon Polymer (PMMA, RMPD) / Si Polymer (PMMA, RMPD) / Glass Si-Foturan Glas-Al 2 O 3 ceramic From CL to 8 WLB Page 31

32 Page 32 NEW MATERIALS

33 New materials that could replace the well known ECD of Aluminum Goal: Development of an ECD process of Al including deposition equipment and evaluation of various demonstrators in the fields of automotive, industry electronics, medical and telecommunication pattern plated test structure onto FeNi seed on ceramic 600 µm via coated with Al 200 µm and 400 µm via coated with Al Deposition temperature = 50 C ECD Pulse plating process established Pattern plating possible Via filling from 800 µm to 200 µm diameter reached suitable for PCB Page 33

34 New materials that could replace the well known Nanoparticle materials Nanoparticle Inks/Pastes Post-treatment and sintering Suspensions of metal particles in solvents and binders Pretreatment for dense layer and electrical conductivity: Drying out solvents, burning out organic shells, sintering Fig 5: Nanoparticle filled Ink, Drying out solvents, burning out organic shells, sintering Sintering without pressure Particle necking due to diffusion effects Time Fig 6: 2 Particle Model [J. I. Frenkel (1945)] Experimental Setup Sintering of Ag Nanoparticles and SEM investigation at different temperature steps 60 C 100 C 200 C 250 C 250 C 300 C SEM Investigation - Sintering of Ag Nanoparticles and grain size at 60 C, 100 C, 200 C, 250 C, 300 C Page 34

35 New materials that could replace the well known Nanoparticle materials Nanoparticle materials and direct additive manufacturing enable new technology possibilities Screen printing and Aerosol-Jet printing explored as deposition methods Intermediate nanoparticle metal layers allows low temperature Waferlevel at 200 C using Ag and Au material New sensor concepts and integration possibilities for 3D integration New challenges in terms of reliability and fundamental research of nanoeffects Page 35

36 ANALOG/MEMS/SENSORS So whats new? Where is the innovation comming from? New concepts/ Build ups Innovation for Sensors and Electronics New Integratio n Technolog ies NEW MATERIAL S Page 36

37 ASTROSE - Power line monitoring system General Sensor system for condition monitoring of high voltage power lines (> 110 kv) Inclination and temperature measurement to obtain sag of conductor (to detect dangerous situations e.g. ice load, broken insulator, broken conductor) Sensor node Wireless communication Base station with integration into control station Benefits Condition monitoring of complete high voltage power line (approximately every 500 m a sensor node) Wireless communication along the sensor chain (no installation of receiver stations at the electricity towers necessary) Maintenance free operation (energy harvested from the electrostatic fringing field) Application scenario Sensor node for mounting on power line Included sensors High resolution MEMS inclination sensor (0.001 resolution), temperature sensor (0.1 C) and current sensor (10 A) Inclination and temperature data from field test Technology Readiness Level: 7 Page 37

38 Conclusion & Summary A huge variety of sensor concepts, electronics, communications units and power supplies will be needed to fulfill the sensing and tracking needs in all different use cases a smart city is demanding Security will be one of the most critical issues to solve if we connect everything New materials, packaging and integration concepts as well as energy sources like energy harvesting could finally enable wireless and autonomous sensor networks. They will give us the needed amount of data to make the right decisions Extensively R&D and collaboration between actors from research, business case developers and industrial players is needed to accelerate the vision of a smarter world Page 38

39 Page 39

40 A systemic approach to urban markets Morgenstadt is an Innovation Network that strives to design the future market for sustainable cities. It comprises of the main stakeholders that plan, finance, build, manage and operate cities, and it is run by the German Fraunhofer-Society. The main purpose of m:ci is to solve urban challenges by translating them into potential innovations and piloting solutions in new consortia. Page 40

41 International Conference & Exhibition on integration issues of miniaturized systems MEMS, MOEMS, ICs and electronic components 12. Conference: April 2018, Dresden, Germany Organizer: Part of the Activities of: Chair: Co-Chair: Prof. Dr. T. Otto, Fraunhofer ENAS Dr. Guenter Lugert, Siemens AG and EPoSS Page 41

42 Thank you for your kind attention Frank Ros cher Fraunhofer ENAS Department System Packaging Mail: Phone:+40 (0) Technologie-Campus Chemnitz Germany Page 42 Fraunhofer ENAS

Challenges of Smart Systems Integration

Challenges of Smart Systems Integration Challenges of Smart Systems Integration Prof. Dr. Thomas Gessner Fraunhofer Research Institution for Electronic Nano Systems ENAS Center for Microtechnologies (ZfM) at Chemnitz University of Technology

More information

Smart Packaging Solutions for Secure Applications

Smart Packaging Solutions for Secure Applications Tamper Respondent Envelope Solutions Realized by Additive Manufacturing F. Roscher, N. Saeidi, F. Selbmann T. Enderlein, E. Kaulfersch, J. Albrecht, E. Noack, C. Hannauer, A. Lecavelier, M. Wiemer and

More information

Ceramic materials based on nanopowders

Ceramic materials based on nanopowders Ceramic materials based on nanopowders Uwe Reichel, Fraunhofer Institute for Ceramic Technologies and Systems IKTS Hermsdorf branch of the institut, D-07629 Hermsdorf, Germany Ceramic materials based on

More information

3D SCREEN PRINTING ADDITIVE MANUFACTURING FOR MASS PRODUCTION. Fraunhofer IFAM Dresden

3D SCREEN PRINTING ADDITIVE MANUFACTURING FOR MASS PRODUCTION. Fraunhofer IFAM Dresden 3D SCREEN PRINTING ADDITIVE MANUFACTURING FOR MASS PRODUCTION Ov erv iew Frauhofer Fraunhofer society Itzehoe Lübeck Rostock Bremerhaven Bremen Oberhausen Dortmund Duisburg Schmallenberg St. Augustin Aachen

More information

Industry 4.0 Trends and Challenges

Industry 4.0 Trends and Challenges Industry 4.0 Trends and Challenges Markus Eisenhauer Fraunhofer FIT 12. April 2018 Global Manufacturing Festival 2018 Herning, Danmark Fraunhofer-Institut für Angewandte Informationstechnik FIT Outline

More information

Benefits of Using Indoor Tracking Logistics for Manufacturing

Benefits of Using Indoor Tracking Logistics for Manufacturing Benefits of Using Indoor Tracking Logistics for Manufacturing Przemyslaw Parus przemyslaw.parus@ali.fraunhofer.de Fraunhofer Application Center For Logistics System Planning and Information Systems (ALI)

More information

Dynamic Water Management Studies by means of Perforated GDLs and In-situ ESEM Observations

Dynamic Water Management Studies by means of Perforated GDLs and In-situ ESEM Observations Dynamic Water Management Studies by means of Perforated GDLs and In-situ ESEM Observations Robert Alink, Dietmar Gerteisen, Christian Sadeler, Christopher Hebling Fraunhofer Institute for Solar Energy

More information

Food Tech Industry in the Internet of Things

Food Tech Industry in the Internet of Things Food Tech Industry in the Internet of Things Markus Eisenhauer Fraunhofer FIT 29. October 2014 Food Tech Fair 2014, AU Herning 28-30.10.2014 Herning, DK Fraunhofer-Institut für Angewandte Informationstechnik

More information

Solar Cooling Current activities at. Fraunhofer UMSICHT. March 21, Dr Clemens Pollerberg. Slide 1. Fraunhofer UMSICHT

Solar Cooling Current activities at. Fraunhofer UMSICHT. March 21, Dr Clemens Pollerberg. Slide 1. Fraunhofer UMSICHT Solar Cooling Current activities at Fraunhofer UMSICHT March 21, 2013 Dr Clemens Pollerberg Slide 1 Fraunhofer Institute UMSICHT Topics Foundation 1990 Products, Production and Energy Budget 2011 24.8

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

IOT AND LOGISTICS SYSTEMS

IOT AND LOGISTICS SYSTEMS IOT AND LOGISTICS SYSTEMS Workshop: IoT for Manufacturing Enterprises IoT Week London 2014 Christian Prasse 06/2014 Fraunhofer Seite 1 Organized by AGENDA Brief Introduction Fraunhofer IML Why Logistics?

More information

Software Quality: Hitting a Moving Target

Software Quality: Hitting a Moving Target Software Quality: Hitting a Moving Target Prof. Dr. Dieter Rombach Dr. Adam Trendowicz Tokyo, February 19, 2015 Fraunhofer IESE Fraunhofer-Platz 1 67663 Kaiserslautern Germany dieter.rombach@iese.fraunhofer.de

More information

New Software Engineering Challenges in the Digital Transformation era

New Software Engineering Challenges in the Digital Transformation era New Software Engineering Challenges in the Digital Transformation era ICSSP Conference, Paris, France, 07 July 2017 Prof. Dr. Dieter Rombach Dieter.Rombach@iese.fraunhofer.de TU Kaiserslautern & Fraunhofer

More information

Operation of renewables and storages. Dr.-Ing. Bernhard Wille-Haussmann

Operation of renewables and storages. Dr.-Ing. Bernhard Wille-Haussmann Operation of renewables and storages Dr.-Ing. Bernhard Wille-Haussmann AGENDA Fraunhofer ISE Motivation Operation Strategies Project CheapFlex Modelling Photovoltaic Conclusion 2 The Fraunhofer-Gesellschaft

More information

Fraunhofer Alliance SysWater

Fraunhofer Alliance SysWater Fraunhofer Alliance SysWater Dr. Dieter Bryniok Fraunhofer Institut für Grenzflächen- und Bioverfahrenstechnik Water Workshop WATER QUALITY Novi Sad, Serb bia, 4th September 2008 Seite 1 Fraunhofer-Gesellschaft

More information

Rapid prototyping of 3D micro- nanostructures to. explore cell behavior. Herbert Schuck. October 3, 2007

Rapid prototyping of 3D micro- nanostructures to. explore cell behavior. Herbert Schuck. October 3, 2007 explore cell behavior Herbert Schuck (F. Bauerfeld, D. Sauer, R. Le Harzic, E. Lai, T. Velten, I. Riemann, K. König) October 3, 2007 Outline Introduction (IBMT) State of the art Two Photon polymerisation

More information

INDUSTRIAL X-RAY COMPUTED TOMOGRAPHY D TECHNOLOGY, APPLICATIONS AND DATA-DRIVEN BUSINESS MODELS IN A DIGITAL AGE

INDUSTRIAL X-RAY COMPUTED TOMOGRAPHY D TECHNOLOGY, APPLICATIONS AND DATA-DRIVEN BUSINESS MODELS IN A DIGITAL AGE INDUSTRIAL X-RAY COMPUTED TOMOGRAPHY D TECHNOLOGY, APPLICATIONS AND DATA-DRIVEN BUSINESS MODELS IN A DIGITAL AGE Prof. Dr.-Ing. Jochen Hiller 06.11.2018 Fraunhofer Application Center CTMT, Deggendorf Institute

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

The Fraunhofer IWU Chemnitz General

The Fraunhofer IWU Chemnitz General The Fraunhofer IWU Chemnitz General Main Fields of Research at Fraunhofer IWU Machine tools and automation Forming technologies (bulk and sheet) Joining technologies (adhesive and mechanical) Mechatronics

More information

Dr.-Ing. Bernhard Budaker. Fraunhofer IPA Folie 1

Dr.-Ing. Bernhard Budaker. Fraunhofer IPA Folie 1 Personalized health and wellbeing R&D in medicine and rehabilitation facing the challenge of the demographic change Business Unit Medical Engineering and Biotechnology Dr.-Ing. Bernhard Budaker Fraunhofer

More information

Grid integration of wind energy in Germany a scientific analysis. Kurt Rohrig, 20. Juni 2017, Chicago

Grid integration of wind energy in Germany a scientific analysis. Kurt Rohrig, 20. Juni 2017, Chicago Grid integration of wind energy in Germany a scientific analysis Kurt Rohrig, 20. Juni 2017, Chicago Introduction Spatial and temporal behaviour of wind energy Balancing of generation and demand Grid codes

More information

Seminar on 3D Printing of Tooling with case Presentations. Sarig Nachum. Fraunhofer Centre for High Temperature Materials & Design HTL, Fraunhofer ISC

Seminar on 3D Printing of Tooling with case Presentations. Sarig Nachum. Fraunhofer Centre for High Temperature Materials & Design HTL, Fraunhofer ISC Seminar on 3D Printing of Tooling with case Presentations 5. April 2017 Sarig Nachum Fraunhofer Centre for High Temperature Materials & Design HTL, Fraunhofer ISC Outline Fraunhofer HTL Motivation of work

More information

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf Fraunhofer IZM All Silicon System Integration Dresden Scope M. Juergen Wolf Fraunhofer IZM All Silicon System Integration - ASSID Dresden, Berlin, Germany Fraunhofer IZM Focus of Activities Materials,

More information

MEMS/NEMS towards smart systems integration

MEMS/NEMS towards smart systems integration MEMS/NEMS towards smart systems integration Prof. Dr. Thomas Gessner Fraunhofer Research Institution for Electronic Nano Systems ENAS Center for Microtechnologies (ZfM) at Chemnitz University of Technology

More information

Integration of bio-organic recycling processes to improve plant efficiency Example TCR

Integration of bio-organic recycling processes to improve plant efficiency Example TCR Integration of bio-organic recycling processes to improve plant efficiency Example TCR Fraunhofer Institute for Environmental, Safety, and Energy Technology UMSICHT Institute Branch Sulzbach-Rosenberg

More information

Port Landside connection Train MaNu. Train MaNu. Agnes Eiband MBA & Eng Brussels, 13th May Rhine Main (- Rotterdam)

Port Landside connection Train MaNu. Train MaNu. Agnes Eiband MBA & Eng Brussels, 13th May Rhine Main (- Rotterdam) Port Landside connection Train MaNu Agnes Eiband MBA & Eng Brussels, 13th May 2008 Slide 1 Train MaNu Connection of two significant inland navigation ports: Train MaNu market & situation analysis technical

More information

Extending product lifetime with ALD moisture barrier

Extending product lifetime with ALD moisture barrier Whitepaper Extending product lifetime with ALD moisture barrier 01 executive summary Atomic Layer Deposition (ALD) is a thin film technology that enables new and highly competitive products. Typical applications

More information

CeraWater. Fouling resistant ceramic honeycomb nanofilters for efficient water treatment. Marcus Weyd, Fraunhofer IKTS

CeraWater. Fouling resistant ceramic honeycomb nanofilters for efficient water treatment. Marcus Weyd, Fraunhofer IKTS CeraWater Fouling resistant ceramic honeycomb nanofilters for efficient water treatment Marcus Weyd, Fraunhofer IKTS Marcus Weyd 1, Volker Prehn 2, Barbara Zimmermann 3, Anita Buekenhoudt 4, Liesbeth Verdickt

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Amany von Oehsen Fraunhofer Institute for Wind Energy and Energy Systems Technology Kassel. Fraunhofer IWES

Amany von Oehsen Fraunhofer Institute for Wind Energy and Energy Systems Technology Kassel. Fraunhofer IWES Storage and Balancing Needs in Germany in the German Lead Study Scenarios & Storage and Balancing Needs in Europe in a European 100% Wind and PV Scenario Amany von Oehsen Fraunhofer Institute for Wind

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

Dipl.-Ing. Phillip Karcher. Division Quality Management Fraunhofer-Institute for Production Systems and Design Technology IPK, Berlin

Dipl.-Ing. Phillip Karcher. Division Quality Management Fraunhofer-Institute for Production Systems and Design Technology IPK, Berlin Research Study: Success Factors and Organizational Approaches for the Implementation and Operational Use of Energy Management Systems according to DIN EN ISO 50001 Division Quality Management Fraunhofer-Institute

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

High Barrier Multi-Layer Parylene Coating

High Barrier Multi-Layer Parylene Coating High Barrier Multi-Layer Parylene Coating Technology overview Surface Technolgy 2018 Company Profile Swiss company founded in 1979 Experts in Parylene coating technology Parylene Equipment Export rate

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

iniaturization of medical devices thanks to flexible substrates ISO 9001 certified

iniaturization of medical devices thanks to flexible substrates ISO 9001 certified iniaturization of medical devices thanks to flexible substrates 04-12-2012 Hightec MC Presentation 2 Medical industry is clearly and urgently in need of the development of advanced interconnection solutions

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Best-Reliable Ambient Intelligent Nanosensor Systems by Heterogeneous Integration

Best-Reliable Ambient Intelligent Nanosensor Systems by Heterogeneous Integration Best-Reliable Ambient Intelligent Nanosensor Systems by Heterogeneous Integration 3D Workshop ESREF 2012, Cagliari Italy Reinhard Pufall Infineon Technologies AG (page 1) Project Presentation Outline Project

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape Beam Leads The vast majority of chips are intended for connection with thermosonic bonds: all other methods require some modification to the wafer. As early as 1972, Jordan described three gang-bonding

More information

Embedding of Active Components in LCP for Implantable Medical Devices

Embedding of Active Components in LCP for Implantable Medical Devices 44 th IMAPS New England Symposium 2017 Embedding of Active Components in LCP for Implantable Medical Devices Dr. Eckardt Bihler and Dr. Marc Hauer, Dyconex AG Susan Bagen, PE, Micro Systems Technologies,

More information

Glass Wafer. Specification

Glass Wafer. Specification Glass Wafer Specification Glass Wafer Specification SCHOTT Thin Glass and Wafer products are the result of deep technological expertise. With a product portfolio of more than 100 optical glasses, special

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG

High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG Content 1. 3D-Micromac At a glance 2. Cluster market related machine requirements 3. Solution examples

More information

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Advanced Packaging Business Development Asia Introduction to Fan-Out WLP Introduction World of mobile gadgetry continues to rapidly evolve

More information

Transformation to Industry 4.0

Transformation to Industry 4.0 Transformation to Industry 4.0 Mehmet Kürümlüoğlu Fraunhofer Institute for Industrial Engineering IAO, Stuttgart Istanbul, May 29 th, 2015 Fraunhofer IAO, IAT Universität Stuttgart Page 1 Fraunhofer-Gesellschaft

More information

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition 17.10.2012 1 2012 Aerosol Jet International User Group Meeting Metallization and Functional Structures by Aerosol Jet deposition Andreas Brose Institut für Mikro- und Sensorsysteme Lehrstuhl Mikrosystemtechnik

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG 3D-Micromac AG Symposium on Smart Integrated Systems in Chemnitz 1 1 microdice - TLS-Dicing for separation of SiC 2 microprep - for high-throughput microstructure diagnostics 3 About 3D-Micromac AG 2 microdice

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Intelligent sensor systems for condition monitoring through additive manufacture of ceramic packages

Intelligent sensor systems for condition monitoring through additive manufacture of ceramic packages Intelligent sensor systems for condition monitoring through additive manufacture of ceramic packages Robert Kay, Maria Mirgkizoudi, Ji Li, Russell Harris, Alberto Campos-Zatarain & David Flynn IeMRC Annual

More information

Application of Smart Systems for a Better Life

Application of Smart Systems for a Better Life Application of Smart Systems for a Better Life Prof. Dr. Thomas Gessner Fraunhofer Institute for Electronic Nano Systems ENAS Center for Microtechnologies (ZfM) at Chemnitz University of Technology WPI-AIMR

More information

Glass Wafer. Specification

Glass Wafer. Specification Glass Wafer Specification Glass Wafer Specification SCHOTT Thin Glass and Wafer products are the result of deep technological expertise. With a product portfolio of more than 100 optical glasses, special

More information

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012 EPRC 12 Project Proposal 3D Embedded WLP 15 th August 2012 Motivation Factors driving IC market Higher density, lower cost, high yield Fan-out WLP/eWLP advantages Small footprint, low profile Low cost,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 P M Raj, Himani Sharma, Kanika Sethi, Prof. Rao Tummala 3D Systems Packaging Research

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types T. Leneke and S. Hirsch TEPROSA Otto-von-Guericke University Magdeburg, Germany thomas.leneke@teprosa.de

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx Manipulation and control of spatial ALD layers for flexible devices Meyer Burger Netherlands Equipment manufacturer Functional inkjet printing Based in Eindhoven, the Netherlands Part of world-wide Meyer

More information

Managing the Grid in Areas with Increasingly Decentralized Power Production

Managing the Grid in Areas with Increasingly Decentralized Power Production Managing the Grid in Areas with Increasingly Decentralized Power Production Kurt Rohrig Fraunhofer Institut für Windenergie und Energiesystemtechnik Kassel www.iwes.fraunhofer.de Fraunhofer IWES: Institute

More information

Thales vision & needs in advanced packaging for high end applications

Thales vision & needs in advanced packaging for high end applications Thales vision & needs in advanced packaging for high end applications M. Brizoux, A. Lecavelier Thales Global Services / Group Industry Chemnitzer Seminar June 23 th -24 th, 2015 Fraunhofer ENAS - Packaging

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

F r a u n h o F e r I n s t I t u t e F o r e l e c t r o n I c n a n o s y s t e m s e n a s SMART SYSTEMS - ViSionS become REAliTY

F r a u n h o F e r I n s t I t u t e F o r e l e c t r o n I c n a n o s y s t e m s e n a s SMART SYSTEMS - ViSionS become REAliTY Fraunhofer Institute for Electronic nano ENAS SMART SYSTEMS - Visions become Reality 1 smart integration by using Micro and Nano Technologies Content Fraunhofer ENAS - Profile 4 Business Units 6 Micro

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes Michael J. Carmody Chief Scientist, Intrinsiq Materials Why Use Copper? Lower Cost than Silver. Print on Numerous Substrates.

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc.

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc. Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices Nahum Rapoport, Remtec, Inc. 1 Background Electronic Products Designers: under pressure to decrease cost and size Semiconductor

More information

ACTIVATION AND FUNCTIONALIZATION OF POLYMERS BASED ON GEOMETRY- INDEPENDENT PLASMA SOURCE CONCEPTS

ACTIVATION AND FUNCTIONALIZATION OF POLYMERS BASED ON GEOMETRY- INDEPENDENT PLASMA SOURCE CONCEPTS ACTIVATION AND FUNCTIONALIZATION OF POLYMERS BASED ON GEOMETRY- INDEPENDENT PLASMA SOURCE CONCEPTS October 25, 2017 - Parts2Clean Fachforum und Innovationsforum AGENDA Fraunhofer IST Basics about plasma

More information

10 Manor Parkway, Suite C Salem, New Hampshire

10 Manor Parkway, Suite C Salem, New Hampshire Micro-Precision Technologies (MPT) is an independent manufacturer of hybrid integrated circuits, multichip modules, and high-precision thick film substrates for the military, medical, avionics, optoelectronics,

More information

Using new international patented production technologies for polymer mems and high-integrated systems

Using new international patented production technologies for polymer mems and high-integrated systems Using new international patented production technologies for polymer mems and high-integrated systems Micromechanics, MEMS, Plastic Lab-on-a-chip Production Service, Packaging and R&D Advanced Micro- and

More information

Presentation to Institute of Technology Tralee. 26 th March 2013

Presentation to Institute of Technology Tralee. 26 th March 2013 Presentation to Institute of Technology Tralee 26 th March 2013 Metal Improvement Company LLC A Subsidiary of Curtiss-Wright Corporation Our Corporate Profile Today A strategically focused multinational

More information

GE Sensing & Inspection Technologies MEMS. Global Solutions for Microsystems

GE Sensing & Inspection Technologies MEMS. Global Solutions for Microsystems GE Sensing & Inspection Technologies MEMS Global Solutions for Microsystems g Global research, development and manufacturing excellence Groby, United Kingdom MEMS Design and Manufacturing Center Advanced

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

Advanced Manufacturing Choices

Advanced Manufacturing Choices Advanced Manufacturing Choices Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM),

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

Photonic Curing and Soldering

Photonic Curing and Soldering Photonic Curing and Soldering Ultra-fast Fabrication of Printed Electronics AIPIA Conference, Utrecht November 19 th, 215 Rob Hendriks Research Engineer Presentation overview Introduction Photonic Curing

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects We take a look at micro-filled epoxy-based conducting adhesives modified with nanoparticles for z- axis interconnections, especially

More information

Glass Carrier for Fan Out Panel Level Package

Glass Carrier for Fan Out Panel Level Package January 25, 2018 NEWS RELEASE Development of HRDP TM Material for Formation of Ultra-Fine Circuits with Glass Carrier for Fan Out Panel Level Package - Aiming for mass production in collaboration with

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Miniaturized electronic packaging for wearable health monitors

Miniaturized electronic packaging for wearable health monitors Miniaturized electronic packaging for wearable health monitors Jayna Sheats Terepac Corporation, Waterloo, Ontario, Canada Market needs Technology needs What Terepac does to address both 2013 MEPTEC Medical

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions by Seung Wook Yoon and Meenakshi Padmanathan STATS ChipPAC Ltd. Seungwook.yoon@statschippac.com Andreas Bahr Infineon

More information

IMRE/ETPL Flagship Project

IMRE/ETPL Flagship Project IMRE/ETPL Flagship Project Nanoparticulate Barrier Films & Gas Permeation Measurement Techniques for Thin Film Solar & Display Application Problems Senthil Ramadas Institute of Materials Research & Engineering

More information

Micro/nanophotonics at VTT

Micro/nanophotonics at VTT Micro/nanophotonics at VTT Timo Aalto (timo.aalto@vtt.fi) VTT Technical Research Centre of Finland Micro and nanotechnology seminar, St Petersburg, 16 th Nov 2010 2 Outline Overview of micro and nanophotonics

More information

Chapter 2 Capacitive Sensing Electrodes

Chapter 2 Capacitive Sensing Electrodes Chapter 2 Capacitive Sensing Electrodes The capacitive sensing electrodes on the top of a CMOS chip serve as an interface between the microelectronic readout system and the biological/chemical analyte.

More information