Interconnect Systems: Challenges for Nanoelectronics Interconnect Systems: Challenges for Nanoelectronics

Size: px
Start display at page:

Download "Interconnect Systems: Challenges for Nanoelectronics Interconnect Systems: Challenges for Nanoelectronics"

Transcription

1

2 Interconnect Systems: Challenges for Nanoelectronics Thomas Gessner Professor for Microtechnology at Chemnitz University of Technology Center for Microtechnologies FhG-IZM Department Micro Devices and Equipment

3 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

4 Implementation of new materials in the CMOS process & challenges Challenges risks of new failure modes have to be understood customer reliability requirements have to be met Source: E. Zschech, AMD Saxony Modifications: T. Gessner et al., TU Chemnitz Ge Si, SiO 2, Al Si 3 N 4 poly- Si TiSi 2 WSi 2 MoSi 2 W Ti SiON CoSi 2 Cu Ta/TaN low-k SOI strained Si high-k gate dielectrics metal gates wrap around gates high-k capacitor NiSi ULK copper on the nanoscale? carbon nano tubes nano wires air gaps......

5 New metrology in the CMOS manufacturing & challenges Materials Challenges monitor the right parameters have the metrology available on time Source: E. Zschech, AMD Saxony Modifications: D.R.T. Zahn et al., TU Chemnitz Optical microscopy SEM TEM SIMS AES XPS FIB AFM TOF- SIMS STEM: EELS, HAADF XRR/ XRD Raman FTIR AFM-based techniques: SCM, FM/UFM, MicroRaman NanoRaman Cs corr TEM/STEM/ SEM STM? LEAP Scatterometry Spectroscopic Ellipsometry/ Porosimetry Nanoindentation / SAW Year

6 How to Fight RC Delay: Design, Architecture, Technology Low k material as IMD Hierarchical wiring (reverse scaling) SEM cross-section of AMD Opteron TM and AMD Athlon TM 64 microprocessors, showing the 9-metal interconnects hierarchy (IEDM 2003, Nanofair 2003) Photo courtesy: E. Zschech, AMD, Dresden, Germany

7 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

8 Fabrication of Copper Damascene Interconnects Trench etched into dielectric barrier deposition Cu seed layer deposition Cu fill CMP

9 Potential barrier/seed approaches Available Deposition Processes Physical Vapour Deposition (PVD: sputtering, evaporation) Chemical Vapour Deposition (CVD) Atomic Layer Deposition (ALD) In production Electroless Under plating development Potential barrier/seed layer approaches ALD TaN / PVD Ta / PVD Cu seed ALD TaN / CVD Ru seed (direct plating) CVD barrier / ALD Cu seed WN: example for CVD barrier ALD barriers

10 Equipment for TiN/Cu or W(Si)N/Cu CVD PRECISION 5000 TM configuration Chamber A Cu - MOCVD Chamber C TiN - MOCVD Chamber B WN metal x PECVD Chamber D preclean process for WN x : PECVD based on WF 6 + N 2 + H 2 available parameters: temperature: C pressure: Torr RF power: W MHz WF 6 flow : 100 sccm N 2 flow : 280 sccm H 2 flow: 1000 sccm

11 10 nm WN Barrier Characterisation / as deposited XTEM images of WN x 3 Amorphous microstructure Electron diffraction pattern of WN x 3 Only diffuse rings; reflection spots from a Cu grain TEM and Electron Diffraction Cu WN x SiO 2

12 10 nm WN Barrier Stability / Crystallisation Behaviour Comparison of the as deposited state with the state after 400 C/100h Cu WN x SiO 2 No significant structural changes Barrier remains amorphous

13 Atomic Layer Deposition of WCN barrier films Li et al. (ASM, Philips), IITC 2002 Application of ALD to barrier films Advantages: Highly conformal deposition Controlled thickness Extremely thin films excellent tickness uniformity Disadvantages/Challenges: Surface senistivity Cost-effective only for very thin films For porous and part of low-density low-k materials only applicable at sealed surfaces

14 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

15 Size effect of interconnect resistivity C. Werner T. Ohnemus W. W. Hönlein, E. E. Unger, W. W. Pamler, Z. Z. Gabric L. L. Risch G. G. Braun R. R. Göttsche, N. N. Brüls H. H. Wendt I. I. Janssen, K. K. Schober H. H. Helneder, U. U. Seidel K. K. Mosig ** ** International Sematech, Austin, TX M. Engelhardt et al., Infineon Technologies AG, Corporate Research G. Gebara * T. Geßner S. Schulz R. Ecke *cooperation contract with IFX

16 Size effect of interconnect resistivity Nano Interconnects (E-beam litho + IMD etch + TiN/Cu CVD) Cu IMD c-si L&S: 80nm E-beam lithography and IMD etch: Infineon CPR NP Metallization (CVD TiN and Cu): Center for TU Chemnitz M. Engelhardt et al., Infineon Technologies AG, Corporate Research

17 Size effect of interconnect resistivity Barriere Nano Interconnects (Sub-50nm) with high AR (DUV litho & spacer mask) Cu M. Engelhardt et al., Infineon Technologies AG, Corporate Research

18 Size effect of interconnect resistivity Resistivity [µω cm] L=99.2mm L=91.2mm L=83.2mm Resistivity (Cu Bulk ) = 1.7 µω cm Measurements: Lines (L = 200 µm) Serpentines Fuchs-Sondheimer Theory (100% diffuse scattering) Barrier thickness reduction Interface engineering (reduce interface scattering) Cu morphology optimisation (increased grain size, reduced grain boundary scattering) Line Width [nm] 22nm (2016) 32nm (2013) 45nm (2010) M. Engelhardt et al., Infineon Technologies AG, Corporate Research

19 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

20 ITRS Low k eff - Predictions for Cu Interconnects with low k Average Keff 4 3,5 3 2,5 2 1,5 ITRS nm Average Keff for Cu-Interconnects 180 nm ITRS nm NTRS nm ITRS nm 100 nm 65 nm 45 nm 70 nm 50 nm 32 nm ITRS 2001 ITRS 2000 ITRS 1999 NTRS 1997 ITRS nm ITRS Year

21 Ultra low k (materials) concepts dense films Minimum bulk k >1.9 In praxis > 2.2 Inherent porosity or introduced by porogens shape of pores, connectivity, pore size distribution (micro < 2nm, meso < 50nm) silica based materials silsesquioxanes (SSQ) organic polymers CVD or spin-on porous films permittivity air gaps How much porosity is needed? How much porosity can be controlled? EMA-model, series and parallel model porosity in vol% Potential of k eff < 2.0! Design adaptions needed E~ρ 3.7 for porous SiO elastic modulus in GPa

22 Low k dielectric materials: porous films Material Class Deposition k characteristics Provider (2.5...)2.25(... structured porosity Allied Nanoglass porous SiO 2 spin on 1.3) (templating) Signal ORION TM C-doped OSG CVD pore size 1-4nm density 1.04g/cm³ Trikon porous SiLK TM aromatized and cross-linked polyphenylene spin on ZIRKON TM MSQ spin on LKD 5107 LKD 6103 Ultra Aurora MSQ spin on aver. pore size 6...4nm, pore size distribution range 1..7nm small, uniformly distributed pores Dow Chemical Shipley (2.2) pore size ~0,5nm ASM JSR Micro CORAL TM SiOC - carbon-doped oxide Non-PECVD 1.7 structured porosity, very high hardness Novellus Systems FOx TM HSQ spin on 2.9 microporous Dow Corning BD2 porous SiCOH CVD 2.5 AMAT Published Data provided by companies via internet home pages, www. e-insite.net/semiconductor/ 2003, Semiconductor Bussiness News 2003, Solid State Technology June 2002

23 permittivity 3 Interconnect Systems: Challenges for Nanoelectronics Materials permittivity properties vs density vs. density/porosityelastic modulus vs density LKD JSR Aerogel TUC increasing porosity effective film density g/cm³ elastic modulus (GPa) LKD JSR Aerogel TUC increasing porosity effective film density g/cm³ λ / W/mK 0,30 0,25 0,20 0,15 0,10 0,05 thermal conductivity vs density λ = ρ 1.44 R 2 = , density / % aerogel measured trend (power law) the higher the porosity and the lower the density, the lower stiffness and thermal conductivity increase of thermal and elastic stress during processing and use; serious mechanical issues for CMP and packaging

24 Issues of porous low k material in Cu DAMASCENE architecture mechanical stability for metal CMP M2 leakage current sensitive porous film dielectric barrier + cap layer etch stop layer Interface barrier/low k: pore sealing; Impact of etching, stripping on ULK properties; adhesion V W W BPSG Transistor V post via etch clean M1 porous film or CVD dielectric (SiO 2 or SiCOH) porous film cap deposition / interface dielectric barrier + cap layer Packaging: Mechanically weak dielectric materials (polymers, porous) supporting patterns Heat dissipation:low thermal conductivity dielectric materials Cu dummy patterns (heat sinks)

25 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

26 On the Road to lower the k-value of Dielectrics in Cu Interconnects Dielectric constant Fluor or Low K: hydrogen carbon doped SiO 2 doped SiO 2 or organic polymer Ultra Low K: Porous SiOC, porous organic polymers <2.2 Air gaps Κ = 1 Technological node 130 nm 90 nm 65 nm 45 nm 32 nm Air gaps (air cavities) = The ultimate solution with conventional interconnects

27 Integration of Porous ULK faces Multiple Challenges Stack deposition: Litho: Etch: Strip and clean: Barrier: Cu CMP Plasma treatments for adhesion enhancement Resist poisoning, cap layer needed Profile (e.g. bowing) and roughness Low k Modification Low k modification Pore sealing, etc. Adhesion, cracking, collapsing & architecture for Dual Damascene integration e.g. Special hard mask approaches New ULK for every technology node!! Air gaps requirements: simple, cheap, reliable and scalable

28 Airgap Approaches: Sacrificial Etch Sacrificial Layer Decomposition Formation by Deposition Nonconformal CVD Selective CVD

29 Airgap Approaches: Sacrificial Etch Sacrificial Layer Decomposition Formation by Deposition Nonconformal CVD Selective CVD nitride O 3 /TEOS copper seed layer base layer

30 Airgap Approaches: Sacrificial Etch Sacrificial Layer Decomposition Formation by Deposition Nonconformal CVD Selective CVD Sacrificial material Permanent material Removal technique Permanent dielectric sacrificial place-holder Air (after sacrficial removal) Polymer/resist p-silk/sog thermal annealing in N 2 Unity sacrificial polymer SiO 2 thermal annealing in N 2 Carbon None or SiO 2 thermal annealing in O 2 SiO 2 SiLK/SiOC/SiC HF etching

31 Airgap Approaches: Sacrificial Etch Sacrificial Layer Decomposition Polymer/Resist Formation by Deposition Nonconformal CVD Selective CVD Al contrast layer SiO 2 Cu Air Gap Hard Mask Source: Kohl et al: IEEE EDL. 21, p. 557 (2000) 75% of IMD is Air Gap

32 Airgap Approaches: Sacrificial Layer Formation by Deposition Sacrificial Etch Decomposition Removal through defined patterns (patterned mask, spacers, etc.) Removal through porous or low density capping layers Removal of SiO 2 sacrificial layer by using buffered HF wet etch solution

33 Air Gap Integration using Buffered TU Chemnitz ZfM: Approaches Air Gap via MASK Air Gap via SPACER Process Description Application of a SACRIFICIAL PECVD SiO x film, finally removed by a WET ETCH PROCESS using buffered HF acid - Patterned hard mask defines areas for wet etching attack - Access to IMD by spacer application - Spacer is situated between IMD and copper lines - Wet etching process is aligned by copper lines, a cap- and a sub-layer Access control by HARD MASK and HF acid EXPOSURE TIME

34 Air Gap Integration using Buffered TU Chemnitz ZfM: Results AirGap via Spacer tilted view, 45 AirGap via MASK tilted view, 45 tilted view, 45 tilted view, 45 tilted view, 45 top view Feasibility for both approaches successfully proven

35 Air Gap Integration: Process Integration Challenges A Local integration is required where best electrical performances are necessary allows mechanical strength (CMP, Packaging) Permanent material M7-M9 M1-M6 Cu interconnects Air gaps Permanent material W plugs and active regions Standard Performances Packaging

36 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

37 65 nm 45 nm SEM picture of 10-level dual-damascene structure fabricated using SILK TM at the lower levels of multilevel interconnects (T. Ohba, Fujitsu Sci. Tech. J., 38, 1, 2002, Study of current Multilevel Interconnect Technologies for 90 nm and Beyond ) Cross sections of the metallization systems used for simulation (scaled). Geometric dimensions of the interconnection system (MPU)

38 Interconnect Cooling by Heat Sinks HS1 Global lines above unoccupied lower metal levels j = 0.5 MA/cm 2 T substrate 150 C 80 C HS4 M6 (0.13 µm), Aerogel

39 1. Introduction / Interconnect challenges 2. Diffusion barriers 3. Size effect of interconnect resistivity 4. Porous low k dielectrics 5. Airgap architecture Key to solve ULK? 6. Thermal issues 7. Conclusions

40 Conclusion Nanotechnology in Nanoelectronics is not only due to shrinking dimensions: for interconnects: nanoscale effects like diffusion through ultrathin barriers; dielectrics with 0.5 to 3.0 nm pores diffusion and conduction/leakage mechanisms; atomic layer deposition gains importance; size effects of conduction in nanoscale interconnects Ultrathin barriers available by CVD or ALD integration is the challenge (especially with CMP & porous ULK dielectrics) The favorite ultra low k material is not defined yet integration issues have been delaying the application for years; k eff < 2.5??? Airgap is a very promising aproach to achieve k eff < 2.5 (even < 2.0) but needs design adaptions Special design precautions have to be considered to solve thermal issues associated with low thermal conductivity materials (ULK, Airgaps) The farer future (> 2015) calls for special nanotechnology interconnect approaches (nanowires, CNT,... )

41 Acknowledgement Staff of Center for Microtechnologies of TUC and Fraunhofer IZM, especially: Stefan E. Schulz: Copper and low-k integration Knut Schulze: Airgap development Ramona Ecke: CVD Barrier investigation Swantje Fruehauf, Frieder Blaschta, Knut Schulze, Knut Gottfried, Jens Bonitz: porous ULK integration, CMP, Low-k etch & strip, pore sealing investigations Dr. Hermann Wolf, Reinhard Streiter : Simulation Annekatrin Delan, Michael Rennau: electrical measurements incl. thermal conductivity Monika Henker: SEM Dr. Hans-Juergen Engelmann (AMD Saxony LLC & Co. KG) for XTEM and EDX/EELS analysis Dr. Ehrenfried Zschech (AMD Saxony LLC & Co. KG): AMD Cu interconnects TEMs & strategic discussions Support by EC (ULISSE, NanoCMOS), BMBF and DFG

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS Paul S. Ho Microelectronics Research Center APS March Meeting 2003 Technology challenges for low k dielectrics Chemical bond and polarizability

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Selective Vapor Deposition

Selective Vapor Deposition Selective Vapor Deposition The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters. Citation Accessed Citable Link Terms of Use Gordon,

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Back End Processing (BEP) Needs Statement

Back End Processing (BEP) Needs Statement Back End Processing (BEP) Needs Statement I. Introduction- The purpose of this document is to define the needs of the SRC member companies in the area of Back End Processes (BEP), and to highlight specific

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

High Rate low pressure PECVD for barrier and optical coatings

High Rate low pressure PECVD for barrier and optical coatings High Rate low pressure PECVD for barrier and optical coatings, Matthias Fahland, John Fahlteich, Björn Meyer, Steffen Straach, Nicolas Schiller Outline Introduction PECVD New developments magpecvd arcpecv

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Roll-to-roll Technology for Transparent High Barrier Films

Roll-to-roll Technology for Transparent High Barrier Films Roll-to-roll Technology for Transparent High Barrier Films Presented at the AIMCAL Fall Technical Conference, October 19-22, 2008, Myrtle Beach, SC, USA Nicolas Schiller, John Fahlteich, Matthias Fahland,

More information

Evaluation of a New Advanced Low-k Material

Evaluation of a New Advanced Low-k Material Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, E-mail:

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Emerging Materials for Front End IC Process

Emerging Materials for Front End IC Process Emerging Materials for Front End IC Process Mark Thirsk Linx Consulting +1 617 273 8837 mthirsk@linx-consulting.com Device Making Unit Operations - 2007 100% 0.065 Total = 12,229,682 80 0.09 60 40 0.13

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Integration/Reliability Issues for Cu/low-k BEOL Interconnects

Integration/Reliability Issues for Cu/low-k BEOL Interconnects IEEE Workshop on Microelectronics and Electron Devices, April 3, 2009, Boise Integration/Reliability Issues for Cu/low-k BEOL Interconnects Daniel C. Edelstein IBM Fellow and Manager, BEOL Technology Strategy

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells

Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells SPREE Public Seminar 20 th February 2014 Ned Western Supervisor: Stephen Bremner Co-supervisor: Ivan Perez-Wurfl

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD AIMCAL 2005 Myrtle Beach, SC, USA, October 19th, 2005 Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD E. Reinhold, C. Steuer VON ARDENNE Anlagentechnik GmbH, Dresden, Germany

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films

Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films Amy M. Marconnet 1, Munekazu Motoyama 1, Michael T. Barako 1, Yuan Gao 1, Scott Pozder 2, Burt Fowler 2, Koneru Ramakrishna

More information

The Search for Negative Impact of 3D Cu TSVs

The Search for Negative Impact of 3D Cu TSVs The Search for Negative Impact of 3D Cu TSVs WIDEIO MEMORY TI 28nm Logic Fine-Pitch Cu Substrate upillar Joint TSV Joint Jeff West Advanced Technology Development Texas Instruments, Inc. Outline Introduction

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information