The Journey to FinFETs

Size: px
Start display at page:

Download "The Journey to FinFETs"

Transcription

1 The Journey to FinFETs Alvin Loke 30-Apr-2015 Qualcomm Learning Center

2 The Foot View A Switch small, fast, thrifty Scaling Performance Energy-Efficient Slide 1

3 CMOS Scaling Still Alive Intel 22nm & 14nm tri-gate finfet Keating, Synopsys [1] Leading foundries scrambled, now in 16nm tri-gate early production Intel started 14nm production Slide 2

4 Our Objective Understand how MOSFET structure has evolved Understand why it has evolved this way Fundamentals, fundamentals, fundamentals L=35nm SiGe Slide 3

5 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 4

6 The Basis of All CMOS Digital ICs inputs pull-up logic pull-down logic t P delay dynamic Q I load eff C C load V load I eff 2 DD V f DD Voltage is the state variable Charging and discharging a capacitor very quickly! Shorter delay and lower power Slide 5

7 Effective Inverter Drive Current I D (ma) nm, VDD=1.0V V GS IDsat IDhigh 1.0V IDeff 0.7V 0.5 IDlow 0.5V IDlin IDoff V V (V) DS IDeff estimates effective inverter current drawn during switching More realistic and way less optimistic than IDsat IDlow IDhigh IDeff 2 VDD IDlow ID VGS, V 2 IDhigh ID V GS V DD, V DS DS V DD V 2 DD Na et al., IBM [2] Slide 6

8 Flatband Condition (V GS =V FB ) V BS V DS M O S V GS silicon surface E C silicon surface poly gate E F q s q b E i EF E V p + body contact n + source n + drain q s = q b p-type body source-to-body depletion drain-to-body depletion Energy Band Diagram Slide 7

9 Onset of Surface Inversion ( s =0) V BS V GS V DS M O S depletion region p + body contact surface undoped n + source poly gate n + drain q s q b q s = 0 p-type body + charge terminating on charge Energy Band Diagram Slide 8

10 Onset of Strong Surface Inversion (V GS =V T ) V BS V DS M O S V GS inversion layer poly gate qv T s q s q b p + body contact p-type body n + source V T V FB 2 b Q C dep ox n + drain q s = q b Energy Band Diagram Slide 9

11 Lower the Surface Barrier V GS = 0 V DS = 0 (no current) Large source barrier (back-to-back diodes) electron current V GS V T V DS = 0 (no net current) Source barrier lowered Surface is inverted V GS > V T V DS > 0 (net source-to-drain current flow) Carriers easily overcome source barrier Surface is strongly inverted Sze [3] Slide 10

12 Quantifying Charge to Move s by 2 b gate body E 0 x d qn Q E da x d x Si Assume uniformly doped p-type body How much body must be depleted to reach strong inversion? qnx d Si V 2 b 0 2 b V qnx 2 x d E Si 2 d x dx x d 2 Si 2 b qn Qdep qnx d 1 N Slide 11

13 Short-Channel Effects (SCEs) L V T gate n + source n + drain L V T source-to-body depletion drain-to-body depletion DIBL V DD not scaling as aggressively as L Higher channel electric fields Velocity saturation Mobility degradation V DS Slide 12

14 Overcoming Short-Channel Effects Improve gate electrostatic control of channel charge Higher body doping but higher V T Shallower source/drain but higher R s Thinner t ox but higher gate leakage High-K dielectric to reduce tunneling Metal gate to overcome poly depletion Fully-depleted structures (e.g., fins) Stressors for mobility enhancement 1 x j doping n + source gate n + drain Slide 13

15 Not So Fundamental After All M O S qv T f s qf s inversion layer q b q s = q b Energy Band Diagram V T V FB 2 Body doping has increased by 2 3 orders of magnitude over the decades Surface way more conductive at strong inversion condition using fundamental V T definition What matters is how much OFF leakage you get for a given ON current IDoff vs. IDsat (or IDeff) universal plots have become more useful to summarize device performance b Q C dep ox Slide 14

16 log (I DS ) I OFF1 I OFF2 I OFF I ON Universal Plots I ON1 I ON2 OFF Leakage Current (na/ m) Comparison of 90nm Technology Foundry Vendors PMOS NMOS V T1 V T2 V DD V GS V 1.2V 1.0V 1.2V ON Drive Current ( A/ m) High I ON high I OFF & low I ON low I OFF OFF leakage prevents V T from scaling with gate length Multiple V T s enable trade-off between high speed vs. low leakage Slide 15

17 Constant-Current V T Measurement Onset of strong inversion near impossible to measure log I DS high V DS low VDS Sweep log I DS vs. V GS Find V GS when I DS crosses user-specified threshold I 0 normalized to W/L I 0 W/L DIBL Foundry-specific I 0 ~ 10 to 500 na No physical connection to fundamental V T definition V Tsat V Tlin V T V GS I DS I 0 W L drawn drawn V GS Slide 16

18 Subthreshold Leakage MOSFET is not perfectly OFF below V T it s just a BJT V G s lower source-to-channel barrier Gradually more carriers diffuse from source to drain Capacitive divider between gate and undepleted body source s V G V G C ox Cox C Si V G drain s gate C ox source drain body C Si V B Slide 17

19 Subthreshold Slope V G needed for 10 change in current S S k T q B ln 10 C mv / dec ox C C Planar 28nm: S = mV/dec at 25 C C ox ox Si 60 at 25 C Si C C ox s V G V B C ox C Si Want tight coupling of V G to s but have to overcome C Si Large C ox thinner gate oxide, HKMG Small C Si lower body doping, FD-SOI, finfet Get diode limit when C ox & C Si 0 (η = 1) Reducing S enables lower V T, V DD & power for same I OFF Slide 18

20 Drain-Induced Barrier Lowering (DIBL) OFF leakage gets worse at higher V D E field from drain charge terminating in body, reducing gate charge required to reach V T Characterized as V T reduction for some V D Planar 28nm: mV for V D =1V Reducing DIBL also enables lower V DD & power for same I OFF source gate drain E field source reduction of barrier height at edge of source drain V DD Slide 19

21 Benefits of Lower DIBL & S Maintain IDsat & IDoff Same S Lower DIBL Lower S Same DIBL log (I DS ) S IDoff IDsat IDlin IDoff log (I DS ) IDsat IDlin IDoff log (I DS ) IDsat IDlin DIBL V Tsat V Tlin V DD V GS V Tsat V Tlin V DD V GS V Tsat V Tlin V DD V GS Combination of reduced DIBL & S is key to enabling lower V DD & power for same IDsat & IDoff Slide 20

22 3-Way Competition for Body Charge What s happening to surface potential? V B V G gate p-well V D drain source source V G source V B gate control (what we want) drain body effect DIBL drain drain V D Slide 21

23 The Roads to Higher Performance source channel drain Decrease L steepen the hill source channel drain lithography scaling Increase µ move carriers faster source channel drain strain engineering Must contain parasitic R & C from undoing all the I FET gains Increase C ox move more carriers source channel drain high-k dielectric metal gate Slide 22

24 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 23

25 130nm MOSFET Fabrication 1 STI oxide p-si substrate Shallow Trench Isolation 4 halos Source/Drain Extension & Halo Implantation 2 n-well p-well 5 Well Implantation gate oxide Spacer Formation & Source/Drain Implantation silicide 3 Gate Oxidation & Poly Definition 6 PMOS NMOS Salicidation Slide 24

26 Shallow Trench Isolation 1 4 Deposit & pattern thin Si 3 N 4 etch mask & polish stop CMP excess SiO Etch silicon around active area profile critical to minimize stress Recess SiO 2 Strip Si 3 N 4 polish stop 3 Grow liner SiO 2, then deposit conformal SiO 2 void-free deposition is critical Advantages over LOCOS Reduced active-to-active spacing (no bird s beak) Planar surface for gate lithography Slide 25

27 STI oxide p-well Well Implant Engineering Retrograded well dopant profile for n-well AND p-well too (implants before poly deposition) STI oxide Shallow/steep surface channel implant V T control Slow diffusers critical (In, Sb) Substrate Doping Deeper subsurface implant Extra dopants to prevent subsurface punchthrough under halos Prevent parasitic channel inversion on STI sidewall beneath source/drain Faster diffusers (B, As/P) Depth substrate background Very deep high-dose implant Latchup prevention Noise immunity Faster diffusers (B, As/P) Sequence implant to reduce ion channeling, especially for shallow implant Slide 26

28 Poly Gate Definition Gate CD way smaller than lithography capability resist anti-reflection layer (ARL) poly-si gate oxide poly gate Si substrate 1 Pattern resist 2 Trim resist 3 (oxygen ash) Etch gate stack Process control is everything resist & poly etch chamber conditioning is critical (don t clean residues in tea cups or woks) Trim more for smaller CD (requires tighter control) Less trimming if narrower lines can be printed Slide 27

29 1 Channel & Source/Drain Engineering self-aligned source/drain extension implant (n-type) poly gate 3 dielectric spacer formation poly gate p-well p-well self-aligned high-tilt halo/pocket implant (p-type) self-aligned source/drain implant (n-type) 2 poly gate 4 poly gate p-well halos p-well Slide 28

30 Benefits of Halo and Extension Resulting structure Less short-channel effect Shallow junction where needed most poly gate halos extensions Not to be confused with LDD in I/O FET Same process with spacers but Iightly doped drain (LDD) is used for minimizing peak electric fields that cause hot carriers & breakdown Extensions must be heavily doped for low series resistance Slide 29

31 Self-Aligned Silicidation (Salicidation) Need to reduce poly & diffusion R s, or get severe I FET degradation poly 1 diffusion STI well Deposit sicilide metal (Ti, Co, Ni) 3 Strip unreacted metal 2 4 RTA1 (low temperature) Selective formation of metal silicide from metal reaction with Si RTA2 (high temperature) Transforms silicide into low- phase by consuming more Si TiSi x CoSi x Ni/PtSi x Scaling requires smaller grain size to minimize R s variation Slide 30

32 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 31

33 The Roads to Higher Performance source channel drain Decrease L steepen the hill source channel drain lithography scaling Increase µ move carriers faster source channel drain strain engineering Increase C ox move more carriers source channel drain high-k dielectric metal gate Slide 32

34 Let There Be Light Resolution = k 1 NA Tooling has traditionally driven resolution scaling Shorter : 436nm 365nm 248nm 193nm Higher NA lenses capping at 1.35 / NA (nm) Both and NA have hit a wall No new litho tool for 16/14nm (EUV not primetime yet) Single patterning limited to ~80nm pitch Double/triple patterning very expensive Wei, GlobalFoundries [5] Slide 33

35 Step-and-Scan Projection Lithography Slide both reticle & wafer across narrow slit of light Only need high-na optics orthogonal to scan but now high-precision constantspeed stages to move mask & wafer Slit Source Excimer Laser KrF (248nm) or ArF (193nm) Cheaper than high-na 2-D optics 6 x 6 physical reticle size (4 reduction) 25 x 33mm or 26 x 32mm field size Weak intensity of deep-uv source requires sensitive chemically-amplified resists for better throughput Enables dose mapping (adjust light dose during scan to compensate for loading) Slide 34

36 Immersion Lithography Remember oil immersion microscopy in biology class? Extend resolution of refractive optics by squirting water puddle on wafer surface prior to exposure n water ~1.45 vs. n air ~ 1 Tedious but EUV is not primetime yet More light bending collect higher orders of light Resolution = k 1 NA NA = n sin = d / 2 f water light lens 12-inch wafer Slide 35

37 Resolution Enhancement Technology Wei, GlobalFoundries [5] Resolution = k 1 NA Rayleigh k 1 Factor Reducing k 1 is the remaining ticket to better resolution Attack problem from all fronts: mask, source & wafer Imposes significant restrictions on layout design rules Slide 36

38 Mask Optical Proximity Correction Sharp features are lost because diffraction attenuates higher spatial frequencies (mask behaving as low-pass optical filter) Compensate for diffraction effects when feature sizes << by managing sub- constructive & destructive interference Exaggerate edges and corners to equalize cutoff spatial frequency of mask Non-Optimized Optimized Mask Resist Pattern Plummer et al., Stanford [6] Slide 37

39 Mask Sub-Resolution Assist Features SRAFs Difficulty to concurrently print dense and isolated lines SRAFs are features intentionally placed on mask that are too small to print but provide enough diffraction to make isolated features print well Imposes forbidden pitches on layout Sivakumar, Intel [7] Slide 38

40 Mask Phase Shift Create differential optical path length to invert electric field of adjacent features Sivakumar, Intel [7] Slide 39

41 Source Off-Axis Illumination -2 Offers significant boost in resolution Imposes restrictions in orientation & pitch Sivakumar, Intel [7] Slide 40

42 Source Aperture Shape Optimization Keep pixels that contribute to image enhancement Discard pixels that degrade image contrast Sivakumar, Intel [7] Slide 41

43 Double Patterning by Pitch Division Litho-Etch-Litho-Etch (LELE) Litho-Freeze-Litho-Etch (LFLE) Sivakumar, Intel [7] Misalignment between Patterns 1 & 2 complexity with mask decomposition (coloring), design rules & models Slide 42

44 Multiple Patterning with Cut Masks Extra mask to cut or slice through patterns Significantly reduces end-to-end spacing for area reduction e.g. Gate lithography at 45nm Metal-1 lithography at 22nm Intel 65nm SRAM Intel 45nm SRAM Auth et al., Intel [4] Slide 43

45 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 44

46 The Roads to Higher Performance source channel drain Decrease L steepen the hill source channel drain lithography scaling Increase µ move carriers faster source channel drain strain engineering Increase C ox move more carriers source channel drain high-k dielectric metal gate Slide 45

47 Mechanical Stresses & Strains Stress Tension (positive stress) Force Area vs. Strain 0 Compression (negative stress) atomic spacing > equilibrium spacing atomic spacing < equilibrium spacing Stretching / compressing FET channel atoms by as little as 1% can improve electron / hole mobilities by several times Strain perturbs crystal structure (energy bands, density of states, etc.) changes effective mass of electrons & holes Increase I ON for the same I OFF without increasing C OX Slide 46

48 Longitudinal Uni-Axial Strain tension (stretch atoms apart) faster NMOS compression (squeeze atoms together) faster PMOS Most practical means of incorporating strain for mobility boost Want 1-3GPa (high-strength steel breaks at 0.8GPa) How? Deposit strained materials around channel Material in tension wants to relax by pulling in Material in compression wants to relax by pushing out Slide 47

49 Transferring Strain from Material A to B A B A A B A more A A B A limited scalability less B need short channel Slide 48

50 Ways to Incorporate Uni-Axial Strain NMOS wants tension, PMOS wants compression Un-Intentional (comes for free) Shallow Trench Isolation NMOS / PMOS Intentional (requires extra processing) Stress Memorization Technique NMOS Embedded-SiGe Source/Drain PMOS Embedded-SiC Source/Drain NMOS Dual-Stress Liners NMOS & PMOS Compressive Gate Fill NMOS / PMOS Strain methods are additive Slide 49

51 Shallow Trench Isolation (STI) NMOS & PMOS STI oxide under compression High-Density Plasma CVD SiO 2 process (alternating deposition/etch) deposits intrinsically compressive oxide for good trench fill 10 CTE mismatch between Si & SiO 2 increases compression when cooled from deposition temperature Migrated to High Aspect Ratio Process (HARP) fill in recent nodes less compressive oxide Plummer et al., Stanford [5] Slide 50

52 Stress Memorization Technique (SMT) NMOS 1 Amorphize poly & diffusion with silicon implant tensile Deposit tensile nitride Anneal to make nitride more tensile and transfer nitride tension to crystallizing amorphous channel I off (A/µm) control disposable tensile nitride stressor I on (µa/µm) 4 Remove nitride stressor (tension now frozen in diffusion) Chan et al., IBM [9] Slide 51

53 Periodic Table Trends lattice spacing bandgap Compound semiconductor like Si x Ge 1-x has lattice spacing & bandgap between Si & Ge Same idea with Si x C 1-x Slide 52

54 Embedded-SiGe Source/Drain (e-sige) PMOS SiGe constrained to Si lattice will be in compression Compressive SiGe source/drain transfers compression to Si channel L=35nm SiGe 1 P Etch source/drain recess 10-7 Chan et al., IBM [9] 2 SiGe P SiGe Grow SiGe epitaxially in recessed regions I off (A/µm) 10-8 e-sic is similar but introduces tension instead Epitaxial SiC much tougher to do than SiGe I on (µa/µm) Slide 53

55 Dual-Stress Liners NMOS & PMOS Deposit tensile/compressive PECVD SiN (PEN) liners on N/PMOS Liner stress is dialed in by liner deposition conditions (gas flow, pressure, temperature, etc.) TPEN for NMOS CPEN for PMOS tensile compressive tensile compressive Chan et al., IBM [9] Slide 54

56 Strain Relaxation When materials of different strain come together Material A Tensile Material B Compressive interface Both materials will relax at the interface Extent of relaxation is gradual, depends on distance from interface No relaxation far away from interface Slide 55

57 Strain Depends on Channel Location SA, L & SB specify where channel is located along active area SA L SB Critical for modeling device mobility change due to STI, SMT, e-sige, etc. Strain at source & drain ends of channel may be different Important consideration for matching, e.g., current mirrors Concavity & stress polarity vary with stressors in given technology but concept still applies STI effect only Xi et al., UC Berkeley [10] Slide 56

58 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 57

59 The Roads to Higher Performance source channel drain Decrease L steepen the hill source channel drain lithography scaling Increase µ move carriers faster source channel drain strain engineering Increase C ox move more carriers source channel drain high-k dielectric metal gate Slide 58

60 Direct Tunneling Gate Leakage t ox had to scale with channel length to maintain gate control Less SCE Better FET performance Significant direct tunneling for t ox < 2nm High-K gate dielectric achieves same C ox with much thicker t ox Gate Leakage (A/cm 2 ) 10 4 High Performance Low Power SiO 2 Trendline McPherson, Texas Instruments [12] Nitrided oxide EOT (Å) EOT = Equivalent Oxide Thickness C ox t gate gate ox EOT Slide 59

61 Poly Depletion & Charge Centroid poly depletion (band bending) Dielectric Only Half the Story surface charge centroid few Å s away from oxide interface C ox poly-si gate gate charge centroid few Å s away from oxide interface n + poly gate gate oxide p-well 1.5nm (15Å) Wong, IBM [13] gate oxide Si substrate Even heavily-doped poly is a limited conductor Discrepancy between electrical & physical thicknesses since charge is not intimately in contact with oxide interface Slide 60

62 Enter High-K Dielectric + Metal-Gate High-K Dielectric (HK) Hf-based material with K~20 30 (Zr-based also considered) Need to overcome hysteretic polarization High deposition temperature for good film quality Metal-Gate (MG) Thin conductive film intimately in contact with high-k dielectric to set gate work function M V FB V T Want band-edge M, i.e., E C & E V (just like n + poly & p + poly) different MG for NMOS & PMOS Typically complex stack of different metal layers secret sauce Conductive fill metal on top of M -setting metal-gate Key challenges INTEGRATION, INTEGRATION, INTEGRATION M shifts when exposed to dopant activation anneals Getting the right V T for both NMOS & PMOS Slide 61

63 Atomic Layer Deposition Deposit monolayer at a time using sequential pulses of gases Introduce one reactant at a time & purge before introducing next reactant Key to precise film thickness control of HKMG stack e.g., SiO 2 (SiCl 4 +H 2 O) HfO 2 (HfCl 4 +H 2 O) TiN (TiCl 4 +NH 3 ) Introduce pulse of HfCl 4 gas repeat cycle for desired number of monolayers Surface reaction to form HfO 2 Monolayer adsoprtion of HfCl 4 Introduce pulse of H 2 O gas ICKnowledge.com [14] Slide 62

64 HK-First / MG-First Integration Deposit HK Deposit MG1 Pattern MG1 Deposit MG2 Pattern MG2 Deposit gate Pattern gates / MGs / HK Implant/anneal S/ D Form silicide Deposit/CMP ILD0 Form contacts Obvious extension of poly-si gate integration Seems obvious & easy at first but plagued with unstable work function when HKMG is exposed to activation anneals Especially problematic with PMOS V T coming out too high Slide 63

65 GlobalFoundries 32nm-SOI NMOS PMOS epi-csige to set channel M IDoff (na/µm) poly/ SiON +35% HKMG IDoff (na/µm) poly/ SiON +25% HKMG IDsat (µa/µm) IDsat (µa/µm) Horstmann et al., GlobalFoundries [15] Slide 64

66 HK-First / MG-Last Integration Deposit HK / gate Pattern gate / HK Implant/anneal S / D Form silicide Deposit ILD0 CMP ILD0 to expose top of gate Remove gate Deposit MG1 Pattern MG1 Deposit MG2 Pattern MG2 Deposit gate-fill CMP gate-fill / MGs Deposit more ILD0 Form contacts High thermal budget available for middle-of-line Low thermal budget for metal gate more gate metal choices Enhanced strain when sacrificial poly is removed & resulting trench is filled with gate fill metal Slide 65

67 Intel 45nm NMOS PMOS Auth et al., Intel [4] Slide 66

68 HK-Last / MG-Last Integration Deposit oxide / gate Pattern gate / oxide Implant/anneal S / D Deposit ILD0 CMP ILD0 to expose top of gate Remove gate/oxide Deposit HK Deposit MG1 Pattern MG1 Deposit MG2 Pattern MG2 Deposit gate-fill CMP gate-fill / MGs Cut to expose active Form silicide Deposit / CMP ILD0 Pattern/form contacts Same advantages as HK-first / MG-last integration Overcomes EOT scaling limitations in HK-first / MG-last Need to postpone silicidation to after opening source/drain etch DSL relax & no longer useful since contacts cut through FET width Tough to keep traditional unsilicided poly resistor MOL resistor Slide 67

69 Intel 32nm Packan et al., Intel [16] Slide 68

70 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 69

71 Partially-Depleted Silicon-On-Insulator STI STI buried oxide substrate Pros Dynamic threshold effect ( s coupling to V G edge) Low junction area capacitance No V T increase for stacked FETs 4 lower SRAM soft-error rate Body isolation from substrate noise Simpler isolation process, reduced well proximity effect Cons Body hysteresis effect floating body gets kicked around Requires conservative margining for digital timing Major pain for analog/mixed-signal design Substrate heating buried oxide is good insulator More expensive substrate, and from a single supplier STI Slide 70

72 The Dreaded Hysteresis Effect Floating body is coupled to source, gate, drain & body Body voltage has memory or history of other terminals, analogous to intersymbol interference in wireline I/O Floating body voltage noise V T noise I D noise Can get hysteresis in bulk if Z SUB is too high V G poly gate V D n+ source n+ drain Z SUB p-well buried oxide substrate V SUB undepleted floating body Slide 71

73 What Does Fully-Depleted Really Mean? Consider what happens when SOI layer thins down fully-depleted when turned on source drain source drain buried p-well oxide depletion region substrate p-well depletion region substrate Conservation of charge cannot be violated So once body is fully depleted, extra gate charge must be balanced by charge elsewhere, e.g., beneath buried oxide If substrate is insulator, then charge must come from source/drain No floating body in fully-depleted no hysteresis Slide 72

74 gate Requirement for Field-Effect Action body E 0 qnx d Si V 2 b 0 x d x d x d qn x x x V GS modulates surface charge density under gate dielectric Modulate I DS when V DS 0 Need band bending at surface Need electric field for band bending Need + & charge separation between gate & body beneath surface Do we really need dopants in the body to create field effect? Slide 73

75 Ground-Plane MOSFET Yan et al., Bell Labs [17] Extremely retrograded well profile with no surface dopants Depletion region cannot extend beyond buried pulse of dopants All you fundamentally need for field-effect action is a parallel-plate capacitor with gate dielectric & undoped semiconductor in between plates dopants are not required in the body Slide 74

76 gate Just Like a Parallel-Plate Capacitor body E 0 x x M O S qv T q s strongly inverted q b =0 q s = q inv V V T V FB 2 b Q C dep ox inv 0 x Classic V T equation no longer has meaning because b =0 inv is somewhat arbitrary Slide 75

77 Why Fully-Depleted Suppresses SCE source drain p-well depletion region substrate Basic idea: effectively no charge in body Body cannot terminate field lines from source & drain Field lines from source & drain forced to move down to substrate Source to body surface barrier not impacted by shorter gate length Substrate must be close to source & drain to prevent field lines from drain to terminate to source Ideally no body dopants less scattering higher µ Need to re-adjust gate work functions since accumulation-to-inversion transition requires less gate voltage Slide 76

78 Benefits of Lower DIBL & S Maintain IDsat & IDoff Same S Lower DIBL Lower S Same DIBL log (I DS ) S IDoff IDsat IDlin IDoff log (I DS ) IDsat IDlin IDoff log (I DS ) IDsat IDlin DIBL V Tsat V Tlin V DD V GS V Tsat V Tlin V DD V GS V Tsat V Tlin V DD V GS Combination of reduced DIBL & S is key to enabling lower V DD & power for same IDsat & IDoff Slide 77

79 The Big Deal with Lower DIBL Higher performance for the same IDsat & IDoff L. Wei et al., Stanford [18] Slide 78

80 Taxonomy of Fully-Depleted Options Fully-Depleted Planar 3-D (Dual- or Tri-Gate FinFET FD-SOI Ground-plane Bulk MOS SOI Bulk Slide 79

81 Fully-Depleted Planar on SOI a.k.a. ET (Extremely Thin) or UTBB (Ultra-Thin Body & BOX) SOI to refer to very thin SOI and Buried Oxide (BOX) layers SOI Si layer is so thin that charge mirroring gate charge comes from beneath BOX Made sense as a stepping stone from convention planar to finfets buried oxide substrate K. Cheng et al., IBM [19] thick to reduce series resistance & apply stress Slide 80

82 Thin BOX to Suppress SCE T. Skotnicki, STMicroelectronics [20] If body is fully depleted, field lines from drain cannot terminate in the body since there s no charge to terminate to no DIBL Charge elsewhere must be nearby or field lines from drain will terminate on source charge However, lateral field always present when V DS 0 Slide 81

83 Performance Tuning with Backgate Bias Yamaoka et al., Hitachi [21] T. Skotnicki, STMicroelectronics [22] Like body effect in planar bulk with C Si spanning SOI & BOX Backgate bias can modulate both NMOS and PMOS V T at 80mV/V Not option in finfets but finfet subthreshold slope is better Slide 82

84 Fully-Depleted Planar on Bulk 1 Low-doped layer for RDF reduction (fully depleted) 2 V T setting layer for multiple V T devices 3 Highly-doped screening layer to terminate depletion 4 Sub-surface punchthrough prevention Reduced RDF for tighter V T & lower SRAM V DDmin Improved DIBL but not S Fujita et al., Fujitsu & SuVolta [23] Slide 83

85 Random Dopant Fluctuation (RDF) 50 V T (mv) minimum length NMOS?? nm 90nm 65nm 45nm RDF more prevalent with scaling since number of dopants is decreasing with each MOS generation Why does RDF impact magically disappear in fully-depleted? Auth, Intel [7] Slide 84

86 RDF in Conventional MOS Back to basics V E dx Conservation of charge Electric field lines start at +ve charge & end at ve charge Number of dopant atoms vary from FET to FET BUT dopant atoms also vary in location Lengths of field lines exhibit variation Integrated field (voltage or band bending) has V T variation poly gate n + source n + drain partially depleted Slide 85

87 Why Fully-Depleted Eliminates RDF In fully-depleted SOI, field lines from gate cannot terminate in the undoped body (no charge there) Mirror charges are localized beneath BOX Lengths of field lines have tight distribution small V T variation However, V T now very sensitive to dimensional & geometry variation, e.g., SOI and BOX thickness Unfortunately, new sources of variation becoming important, e.g., MG grain orientation n + source poly gate partially depleted n + drain undoped n + source poly gate fully depleted n + drain Slide 86

88 Outline Part 1 Motivation MOSFET & Short-Channel Fundamentals 130nm Fabrication Lithography Part 2 Strain Engineering (90nm & Beyond) High-K / Metal-Gate (45nm & Beyond) Fully-Depleted (22nm & Beyond) Tri-Gate FinFETs Conclusions Slide 87

89 What is Fully-Depleted Tri-Gate? 32nm planar 22nm tri-gate Hu, UC Berkeley [24] M. Bohr, Intel [25] Channel on 3 sides Fin width is quantized (SRAM & logic implications) Fin so narrow that gate mirror charge must come from fin base Slide 88

90 Tri-Gate FinFETs in Production 32nm planar 22nm tri-gate Truly impressive!!! gate fin M. Bohr, Intel [25] Slide 89

91 Conventional Wafer Surface Orientation & Channel Direction x (100) (100) z (001) 0 notch y (010) Wafer normal is (100), current flows in <110> direction Tri-Gate FinFET: top surface (100), sidewall surfaces (110) Slide 90

92 Mobility Dependence on Surface Orientation & Direction of Current NMOS PMOS top of fin sidewalls of fin sidewalls of fin top of fin Yang et al., IBM [26] Strain-induced mobility boost also depends on surface orientation & channel direction not as strong for current along sidewalls vs. top of fin Slide 91

93 Fin Patterning Sidewall Image Transfer 1 Deposit & pattern sacrificial mandrel mandrel 4 Etch target material using spacer as hard mask substrate to etch 2 Deposit & etch spacer spacer 5 Remove spacer mask 3 Remove mandrel hard mask for patterning Standard approach for patterning fins down to 40nm pitch Sometimes called SADP (Self- Aligned Double Patterning) Recursive process for even finer fin pitch (SAQP) Cut-first vs. cut-last integration to remove unwanted fins Slide 92

94 Process Flow Summary I Pattern fins Deposit/CMP STI oxide Recess STI oxide sets fin height Deposit, CMP & pattern poly Deposit spacer dielectric & etch, leaving spacer on gate sidewalls Spacer must be removed on fin sidewall gate oxide on top & both sidewalls of fin fin STI oxide Paul, AMD [27] Slide 93

95 Process Flow Summary II Recess fins Grow Si epitaxially to merge fins together for reduced source/drain resistance (spacer prevents short to gate) Induce uni-axial channel strain by growing e-sige or e-sic Dope source/drain dopants with in situ doping during epi Deposit ILD0 & CMP to top of poly Do replacement-gate HKMG module Deposit & pattern contact dielectric Form trench contacts (note overlap capacitance to gate) trench contact epi growth metal gate Paul, AMD [27] Slide 94

96 Some Tri-Gate Considerations Field lines of from gate terminates at base of fins Fin base must be heavily doped for fin-to-fin isolation Dimensional variation of fins device variation Current density is not uniform along width of device V T & S varies along sidewall trench contact Series resistance vs. overlap capacitance Dead space between fins metal gate Slide 95

97 Pacifying The Multi-V T Addiction 8 V T s typical in 28nm (NMOS vs. PMOS, thick vs. thin oxide) Methods of achieving multiple V T 1. Bias channel length Exploit SCE (V T rolloff with shorter L) Increase L for lower I ON & I OFF 2. Implant fin body with different dose Field lines from gate must work through available body dopants before terminating at base of fin Prone to RDF 3. Integrate more metal gate M Already 2 M s in standard HKMG flow More complex integration Metal boundary effect Slide 96

98 Intel 22nm TEM Cross-Sections Single fin (along W) NMOS (along L) Epi merge (along W) PMOS (along L) Auth et al., Intel [28] Slide 97

99 IDSAT (A/ m) 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Intel 22nm Performance at 0.8V 0.80V 0.05V PMOS VGS (V) NMOS 0.80V 0.05V 1.E-08 SS ~72mV/dec SS ~69mV/dec DIBL ~50 mv/v DIBL ~46 mv/v 1.E IOFF (na/ m) VDD = 0.8V 32nm SP: 0.88 ma/ m HP: 1.26 ma/ m MP: 1.07 ma/ m IDSAT (ma/ m) 1000 IOFF (na/ m) NMOS VDD = 0.8V PMOS 32nm SP: 0.78 ma/ m HP: 1.10 ma/ m MP: 0.95 ma/ m Auth et al., Intel [28] IDSAT (ma/ m) Slide 98

100 Intel 22nm Self-Aligned Contacts Nitride cap on gate to prevent contact-to-gate shorts due to contactto-gate misalignment Contacting transistors is a huge challenge in 22nm onwards typically a dominant issue during yield ramp Expect growing & significant complexity in MOL (Middle-Of-Line) Auth et al., Intel [28] Slide 99

101 Intel 14nm Announcement More details from Intel at IEDM 2014 Intel [29] Slide 100

102 Conclusions Digital needs will continue to drive CMOS scaling but rising wafer cost pressure will restrict what moves to the latest node Expect new learning & surprises in 16/14nm & 10nm as we cope with fin design & layout Designers with good technology knowledge are best positioned for silicon success Exciting time to be designing Slide 101

103 References [1] M. Keating, Science fiction or technology roadmap: a look at the future of SoC design, in SNUG San Jose Conf., Mar [2] M. Na et al., The effective drive current in CMOS inverters, in IEEE Int. Electron Devices Meeting Tech. Dig., pp , Dec [3] S.M. Sze, Physics of Semiconductor Devices (2 nd ed.), John Wiley & Sons, [4] C. Auth, 45nm high-k + metal-gate strain-enhanced CMOS transistors, in IEEE Symp. VLSI Technology Tech. Dig., pp , Jun [5] A. Wei, Foundry trends: technology challenges and opportunities beyond 32nm, in IEEE Vail Computer Elements Workshop, Jun [6] S. Sivakumar, Lithography for the 15nm node, in IEEE Int. Electron Devices Meeting Short Course, Dec [7] J. Plummer et al., Silicon VLSI Technology Fundamentals, Practice and Modeling, Prentice-Hall, [8] [9] V. Chan et al., Strain for CMOS performance improvement, in Proc. IEEE Custom Integrated Circuits Conf., pp , Sep [10] X. Xi et al., BSIM4.3.0 MOSFET Model User s Manual, The Regents of the University of California at Berkeley, 2003 [11] J. Faricelli, Layout-dependent proximity effects in deep nanoscale CMOS, in Proc. IEEE Custom Integrated Circuits Conf., pp. 1 8, Sep [12] J. McPherson, Reliability trends with advanced CMOS scaling and the implications on design, in Proc. IEEE Custom Integrated Circuits Conf., pp , Sep [13] P. Wong, Beyond the conventional transistor, IBM J. Research & Development, pp , vol. 2-3, no. 46, Mar [14] [15] M. Horstmann et al., Advanced SOI CMOS transistor technologies for high-performance microprocessor applications, in Proc. IEEE Custom Integrated Circuits Conf., pp , Sep [16] P. Packan et al., High performance 32nm logic technology featuring 2 nd generation high-k + metal gate transistors, in IEEE Int. Electron Devices Meeting Tech. Dig., pp. 1 4, Dec [17] R.-H. Yan et al., Scaling the Si MOSFET: From bulk to SOI to bulk, IEEE Trans. Electron Devices, vol. 39, no. 7, pp , Jul [18] L. Wei et al., Exploration of device design space to meet circuit speed targeting 22nm and beyond, in Proc. Int. Conf. Solid State Devices and Materials, pp , Sep [19] K. Cheng et al., Fully depleted extremely thin SOI technology fabricate by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain, in IEEE Symp. VLSI Technology Tech. Dig., pp , Jun [20] T. Skotnicki, CMOS technologies trends, scaling and issues, in IEEE Int. Electron Devices Meeting Short Course, Dec [21] M. Yamaoka et al., SRAM circuit with expanded operating margin and reduced stand-by leakage current using thin BOX FD-SOI transistors, IEEE J. Solid-State Circuits, vol. 41, no.11, Nov [22] T. Skotnicki, Competitive SOC with UTBB SOI, in Proc. IEEE SOI Conf., Oct [23] K. Fujita et al., Advanced channel engineering achieving aggressive reduction of V T variation for ultra-low power applications, in IEEE Int. Electron Devices Meeting Tech. Dig., pp , Dec [24] C. Hu, FinFET 3D transistor and the concept behind it, in IEEE Electron Device Soc. Webinar, Jul [25] M. Bohr, 22 nm tri-gate transistors for industry-leading low power capabilities, in Intel Developer Forum, Sep [26] M. Yang et al., Hybrid-orientation technology (HOT): opportunities and challenges, IEEE Trans. Electron Devices, vol. 53, no. 5, pp , May [27] S. Paul, FinFET vs. trigate: parasitic capacitance and resistance, AMD Internal Presentation, Aug [28] C. Auth et al., A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, in IEEE Symp. VLSI Technology Tech. Dig., pp , Jun [29] Slide 102

2D to 3D MOS Technology Evolution for Circuit Designers

2D to 3D MOS Technology Evolution for Circuit Designers 2D to 3D MOS Technology Evolution for Circuit Designers Alvin Loke 1, Ray Stephany 1, Andy Wei 2, Bich-Yen Nguyen 3, Tin Tin Wee 1, John Faricelli 1, Jung-Suk Goo 2, and Shawn Searles 1 1 Advanced Micro

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs S. Flachowsky a), R. Illgen a), T. Herrmann a), A. Wei b), J. Höntschel b), M. Horstmann b), W. Klix a), and R. Stenzel

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

Prospect of Si Semiconductor Devices in Nanometer Era

Prospect of Si Semiconductor Devices in Nanometer Era Prospect of Si Semiconductor Devices in Nanometer Era 2 Prospect of Si Semiconductor Devices in Nanometer Era Shinichiro Kimura, Dr. Eng. Digh Hisamoto, Dr. Eng. Nobuyuki Sugii, Dr. Eng. OVERVIEW: Silicon

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 4 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun Syllabus METALLIZATION: Applications and choices, physical vapor deposition, patterning, problem areas.

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 32 IC BJT - From junction isolation to LOCOS So, by now, we have completed all

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance 6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance techniques have been developed to strain the Si in the MOSFET channel, in order to enhance carrier mobility and current drive some of

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Body-tied-to-SOUrce ) Y

Body-tied-to-SOUrce ) Y US 20020050614A1 (19) United States (12) Patent Application Publication (10) Pub. o.: US 2002/0050614 A1 Unnikrishnan (43) Pub. Date: May 2, 2002 (54) BODY-TIED-TO-SOURCE PARTIALLY Publication Classification

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information