MEMS Fabrication Technology Training May Wang, QST Corp

Size: px
Start display at page:

Download "MEMS Fabrication Technology Training May Wang, QST Corp"

Transcription

1 MEMS Fabrication Technology Training May Wang, QST Corp 2015/05/12

2 Outlines: Unique challenges in the MEMS fabrication technologies Key process modules in MEMS device fabrication Overview of mainstream MEMS/CMOS integration schemes Correlation between MEMS design and key process modules The future of MEMS ECO-System

3 Unique challenges in the MEMS fabrication technologies

4 Traditional IC Vs.MEMS Electrical vs. Mechanical+ MEMS devices usually involve multidisciplinary systems such as magnetic, optical, thermal, biochemical and etc. When scaling down, need to consider the overall impact. As most MEMS systems are multidisciplinary (e.g. fluidic, magnetic, mechanical and etc), the scaling properties of any of these components can limit the overall scaling of the system. Devices modeling/or CAD tools are partially matured. It usually requires several iterations to optimize the design using certain process flow. Si vs. Other Materials Materials with magnetic property are not compatible with IC foundry and stringent measures need to be implemented to avoid cross-contamination Any introduction of new materials will need supporting infrastructure and usually long lead time for evaluation and implementation Planar 2D vs. 3D The need to fabricate submicron geometry on aggressive topography (could range from couple of micron to > The etch process need to cover high aspect ratio features, usually >100:1, and over great depth (~10-100um)

5 Traditional IC Vs.MEMS Special Equipment/Chemistry Equipment used to deposit/etch the specific materials (such as Ge, Ta and etc) are not commonly used in IC foundry; other equipment is specific for MEMS fabrication such as bonder and double-side aligner Chemistry might not compatible (for example, KOH for Si wet etch) Standard vs. Function specific packaging MEMS types of packaging are more complex than most standard IC packages because they require a System-in-Package type of assembly. Additionally, MEMS packages usually have very specific requirements like a module with a cavity, a hole in the substrate, an optical window for optical MEMS, or full vacuum hermeticity at the die level. 95% vs % Process Standardization Standard and compatible process/ip available for fabless design house Non- or limited-standardization at best. Diversity of devices and rapid adoption of some early products encourages the one product, one process model ; Large players of the MEMS field still on traditional IDM model Mainstream MEMS foundry/idm on <=6 wafer format provide no compelling reason to standardize key process modules/equipment types

6 Commonly used processes in MEMS device fabrication

7 Mechanical Properties of Silicon Crystalline silicon is a rigid and brittle material that deforms elastically until it reaches its yield strength. No elastic deformation for Silicon. Tensile yield strength = 7 GPa (~1500 lb suspended from 1mm²) Young s Modulus near that of stainless steel: {100} = 130 Gpa, {110} = 169 Gpa, {111} = 188 Gpa Mechanical properties uniform, no intrinsic stress Mechanical integrity up to C Good thermal conductor, low thermal expansion coefficient High piezoresistivity (ideal candidate material for pressure sensor)

8 Key Process Modules: Bulk machining and Surface Micromachining Wet etch Dry Etch - Ion Mill and DRIE Wafer Bonding Sacrificial Layer and release

9 Silicon Crystallography

10 Surface Micromachining Leave wafer substrate untouched, but add/remove additional layers of materials on the surface Uses the similar process philosophy as IC fabrication More cost-effective fabrication technique but usually require expensive equipment Use sacrificial materials When sacrificial material is removed, only structural materials are left to form the functional devices

11 Silicon Bulk Micromachining Involves removing materials from the crystalline silicon substrate directly Traditional MEMS fabrication technique; compatibility issue with IC process Typically use wet etch process (isotropic and anisotropic), with device constructed using etch stop plane DRIE process is used to create small geometry More expensive technique but with low capital equipment investment

12 Wet etch - Isotropic Etching of Silicon HNA: hydrofluoric acid (HF), nitric acid (HNO3) and acetic (CH3COOH) or water HNO3 oxidizes Si to SiO2 HF converts SiO2 to soluble H2SiF6 Acetic prevents dissociation of HNO3 Etch masks SiO2 etched at nm/min Non-etching Au or Si3N4

13 Wet Etch - Anisotropic Etching of Si Etching of Si with KOH Si + 2OH - Si(OH) e - 4H 2 O + 4e - 4(OH) - + 2H 2 Crystal orientation etch rate ration: {110}:{100}:{111} = 600:400:1 Angle between {110}:{111} = o TMAH, tetramethyl ammonium hydroxide, wt.% (90 o C) Etch rate (100) = μm/min, 3X slower than KOH Al safe, IC compatible Etch ratio (100)/(111) = Etch masks: SiO2, Si3N4 ~ nm/min Boron doped as etch stop, up to 40X slower

14 Anisotropic Etching of Silicon II Convex corners bounded by {111} planes could be attacked and etched away eventually

15 Boron-Doped Etch Stop Control etch depth with boron doping (p++) At high dopant level, injected electrons recombine with holes in valence band and are unavailable for reactions to give OH- [B] > cm-3 reduces KOH etch rate by times Gas or solid phase boron diffusion Beams, suspended films (such as diaphragm in pressure sensor) 1-20 μm layers possible Buried p++ compatible with CMOS process

16 Physical Dry Etch Ion Milling: Reduced pressure environment (<50 mtorr) to Increases mean free path between molecules Inert gas injected at low pressure is used as milling species RF Plasma in chamber Energy transfer to gas molecules creates a plasma of equal numbers of ions and molecules Positive ions bombard negatively charged target (wafer), removing molecules from the surface Key Characteristics: Could sputter away variety of materials; Good choice when finding the right etch chemistry for certain materials becomes an issue Low selectivity in most of the cases; low etch rate; no sidewall passivation so profile is difficult to control

17 DRIE (Deep Reactive Ion Etch) Bosch process 2 steps with fast switch in-between: etching (SF6 as etch chemistry) passivation(c4f8 as passivation gas) Key advantages Anisotropic with vertical sidewall profile High etch selectivity over etch mask, such as PR, SiO2, metal and etc. High aspect ratio Sub-micron feature sizes possible

18 DRIE Process Parameters and trend Source Power (Ws): RF Power (13.56MHz) applied to initiate & maintain the plasma for de-association Pressure (p): Pressure in the reactor chamber Bias Power (Wb): Power applied to the chuck, usually in low frequency Gas pulse: Flow and duration for etch, deposition (and polymer removal phases) Distance: Distance between the source and wafer chuck General parameter trends: Ws : Etch rate, Polymer removal rate & deposition rate P : Etch rate, polymer deposition rate Wb : Etch rate, Selectivity Distance : Etch rate, Selectivity

19 Bosch Process Variation In the standard Bosch process, polymer is removed b SF 5+. In etching high aspect ration features, it can leads to improper removal polymer bottom layer. The ability of O 2 plasma to remove polymer is ~5 times of SF 5+. The recipe will need to adjusted accordingly to balance the etch rate, selectivity, sidewall profile and etc.

20 Types of wafer bonding techniques Complicated MEMS device requires not only the micromachining of individual components, but also the assembly of components to form a complete set through wafer bonding. Bonding technique is also an essential for creating wafer level hermetic packaging

21 Anodic bonding (I) Anodic bonding is a chemical bonding process that joins together a bare silicon wafer and a sodium-containing glass substrate, for example, Corning Pyrex 7740 Fundamental packaging technique to the manufacture of sensor/device which requires transparent cover and/or hermetic environment Bonding performed at o C in a vacuum, air or inert gas High voltage is necessary ( V) and so not CMOS wafer compatible

22 Anodic bonding principle(ii) Mechanisms Positive ion migration (Na+) in glass away from Si-glass interface towards the cathode The electrostatic attraction hold the two substrate Chemical bonding of glass to silicon Current = 0, indicating bonding is complete Key points: Has to maintain bare Si surface as the bonding interface, a very thin layer of SiO2 on the Si wafer is sufficient to disturb the current flow and the bond Thermal expansion match is preferable, for example, Corning 7740 is 3.2 x 10-6/ o C and silicon wafer is 2.6 x 10-6/oC

23 Fusion bonding Si direct bonding Generally Pre-CMOS as the requirement of high temperature anneal. Clean/particle-free surface is the most important factor for void free bonding and the surface should be smooth(rq<1nm). Wafer flatness is very crucial (<25um for 8 wafer) The final bond strength increases with the anneal temperature. At temperatures between 800 o C and 1200 o C the intrinsic strength of bulk silicon is reached.

24 Bonding Strength Strong correlation between bonding strength and anneal temperature: T<300 o C, exhibits relatively constant bond strength equal to the bond strength of the wafers prior to anneal. 300 o C<T<800 o C, the bond strength increases and then levels out. It is presumed that an Si O Si bridging bond is formed between the surfaces and a water molecule is liberated. T>800 o C, the bond strength begins to increase again. In this third region, it has been suggested that surfaces can more easily deform (oxide flow) and trapped water may oxidize surfaces, bringing them into better contact. T>1000 o C, the bond strength is in the range of the strength of the silicon crystal itself. Thermally sensitive devices can be bonded with a sufficient strength for wafer dicing at temperatures between 200 o C and 400 o C by using chemical surface activation methods.

25 Measurement of bonding strength Commonly used method including shear strength and Maszara blade method Where E is the Young's modulus of wafers, t w, t b are the thickness of wafer and blade L is the length of crack generated RT bonding strength Bonding Strength Comparison

26 Eutectic Bonding Principle Wafer bonding technique with an intermediate metal layer that can produce a eutectic system. Those eutectic metals are alloys that transform directly from solid to liquid state, or vice versa from liquid to solid state, at a specific composition and temperature without passing a two-phase equilibrium, i.e. liquid and solid state. The eutectic temperature can be much lower than the melting temperature of the two or more pure elements. Popular eutectic bonding system include: Al-Si, Al-Ge, AlCu-Ge, Au- Si, Au-Sn, Au-In and Cu-Sn Eutectic bonding could be used to create hermetic seal and also electrical contact in one process.

27 Eutectic bonding (Ge/Al) Compatible with CMOS foundry Low eutectic temperature feasible for CMOS/MEMS monolithic integration Forms ohmic contact with heavydoped Si surface Squeeze out control critical for device yield and reliability Uniformity of pressure and temperature is crucial for optimum bonding quality

28 Use of Sacrificial Layer (a) Sacrificial Layer deposition (b) Patterning of layer (c) Metal Deposition (d) Etch of sacrificial layer to free Deposit first sacrificial Deposit and pattern first poly Deposit and pattern second sacrificial Pattern contacts Deposit and pattern 2 nd poly Etch sacrificial

29 Sacrificial material systems Structure Sacrificial Etchant Poly-Silicon Silicon dioxide HF SiO 2 / Si x N y Poly-Silicon XeF2 Aluminum Photoresist Oxygen plasma Photoresist Aluminum Al etch Aluminum Silicon EDP,TMAH,XeF2

30 Vapor HF release Courtesy of SPTS

31 General VHF process trend Lower temperature processes give better selectivity; Material variation, such as SiN type, will affect the selectivity greatly Oxide etch rates increase at lower temperatures for given HFpp There is a trade off between selectivity and possible negative effects of high oxide etch rates

32 Overview of mainstream MEMS and CMOS integration schemes

33 MEMS/CMOS integration method SIP / Hybrid Monolithic IMEC SIP / Hybrid Monolithic Connection Wire Bond Wafer-level interconnect Parasitics More Less Size Usually bulky Compact IC-Fab compatible Not required Necessary Packaging Complexity Simple/Reliable Parallel Tech Development Yes No Development Cycle Shorter Longer

34 Sandia (MEMS-First)/CMOS Platform CMOS Device Area MEMS Device Area SUMMiT V (Sandia Ultra-planar Multi-level MEMS Technology V) 1.0 micron, 5-level, surface micromachining (SMM) technology featuring four layers of poly-si (mechanical structure) fabricated above a highly doped poly-si layer (for electrical interconnect and ground plane. Sacrificial oxide is sandwiched between each poly-si level. The sacrificial SiO 2 film defines the amount of mechanical play in hinges. optional metal layer can be applied to the top poly-si layer for electrical connections

35 SUMMiT V Structure Diagram

36 ST THELMA Process Thick Epitaxial Layer for Micro-Gyroscopes and Accelerometers Yan Lock, ST Micro, 2013 Semicon

37 ST THELMA Process Flow Thermal Oxidation Poly-Si Deposition & Doping Mask Poly Litho & Etch Poly-Si oxidation Metal Sputtering/Litho & Etch SiC Deposition Sacrificial Oxide Dep Trench Litho & Etch Anchor/Ground Litho/Etch Sacrificial oxide etch SiC removal Epi Poly Growth & Doping Epi Poly planarization Yan Lock, ST Micro, 2013 Semicon Sensor/Cap wafer alignment Wafer boding

38 IMEC MEMS/CMOS Platform Combines PECVD and CVD at 2 Torr, high-quality films can be obtained at low temperature (450 o C) with very high deposition rates (~100nm/min). This is the IMEC process for the deposition of thick SiGe layers (e.g. for capacitive sensing applications) on top of standard CMOS. A low resistivity of 1.45 mcm, a tensile stress of 35 MPa and a very low strain gradient of 3.6E10-6 um-1 have been achieved using a top Si-rich stress compensation layer The contact between Al and SiGe was found to be ohmic as required for MEMS/ CMOS integration Cross-section of the PECVD/CVD poly-sige multilayer Schematic cross-section of the integrated gyroscope.

39 X-Fab MEMS Platform (I) SOI wafer based technology Sensor elements formed by Silicon DRIE process Releasing of movable parts using isotropic etch Top cap wafer (for encapsulation) is silicon wafer with etched cavity 6 inch platform Discreet CMOS and MEMS

40 X-Fab MEMS Platform (II) Cost effective wafer level packaging by wafer bonding Recessed fingers enable sensing in Z- direction Low inside cavity pressure Metal connections to the movable sensor structures

41 InvenSense CMOS/MEMS flow (I) The engineered silicon on insulator (ESOI) wafer is formed using a standard silicon handle wafer with simple etched targets for backside alignment (mask 1); followed by oxidation and cavity etch (mask 2). A second wafer is fusion bonded to the handle wafer and subsequently thinned to define the device layer thickness. The MEMS wafer is completed by etching the device layer to form standoffs (mask 3) that define the seal ring and electrical contacts to CMOS; depositing and patterning a germanium layer (mask 4) over standoffs; and patterning (mask 5) and deep reactive ion etching the device layer to form the mechanical structure. By Steven Nasiri, Martin Lim, and Mike Housholde, InvenSense

42 InvenSense CMOS/MEMS flow A standard CMOS wafer fabricated by an independent foundry and a cavity (mask 6) is etched into CMOS wafer to provide clearance for moving MEMS structures. The MEMS wafer is bonded to the CMOS wafer using AlGe eutectic bonding between the Al on the CMOS and the Ge on the MEMS wafer. After bonding, a portion of the MEMS wafer is removed by conventional tab saws to expose the CMOS wire bond pads.

43 InvenSense Device Image (I)

44 InvenSense Device Image (II) One platform to integrate two major inertial sensors: accelorometer and Yole, technical presentation

45 Correlation between MEMS design and key process modules performance and yield

46 Key Considerations Performance Sensitivity Linearity Noise Band Width Manufacturability Process variation Key module uniformity Reliability Stiction Harsh environment

47 Example - Q Factor vs. Pressure Dotted line: Squeeze-file damping Dashed line: Stoke s damping Solid line: Total air damping The ability to achieve high vacuum within the cavity and keep it hermetic, is the key to produce high performance gyroscope (high Q value)

48 Example - DRIE etch key parameter Impact of profile angle on device performance & yield Q-factor (comb driving) Quadrature error y yz yz Z y z y z y z y z y z y z k k k k k k k k k k k k k k k k K cos sin 2 2 sin 2 cos 2 2 2

49 Impact of Sidewall Profile Simulations show that an asymmetric flexure angle of 0.05 and profile asymmetries of 10 nm of the outer spring results in an unacceptable mechanical cross coupling of 2%. This can hardly be detected by SEM. If the anisoelasticity coefficient equals 1%, becomes equal to /s for a 10-kHz gyroscope 15% yield 90% yield

50 DRIE Common Process Issues Depth: 8.6um Depth: 27.3um Pattern loading Effect Sloped sidewall profile Large Scallop Size Edge tilt Si grass formation Notching

51 Micro-loading Effect Feature with different CD and aspect ratio will have different etch rate, which is a physical phenomena related to the etchant availability at microscopic level Large open area of Si are locally over-consuming fluorine radicals, which then leads to surrounding features affected with slower etch rate Design will need to take this into consideration and unify the gap CD size during structure release to minimize the impact of such phenomena

52 Backside damage control with EDP Over-etch is necessary to cover the etch non-uniformity and u-loading effect; But too much over-etch will induce damage on device backside Effective point will help to identify the punch-through point during the process release and help to control the over-etch percentage Film stack, process flow and design layout has to be adjusted to enable better and effective endpoint detection. >20% OE EP signal of 17% change with <1% open ratio ~10% OE EP signal of 35% change with ~19% open ratio

53 Example - Fusion Bonding Alignment of device layer to bottom cavity substrate through open frame. The AM on the bottom cavity wafers could give clear signal for alignment check SAM (Fusion Bonding) Consistent fusion bonding / thinning result. Top Si (device layer) could be thinned to 25um with <2um TTV

54 Killer defect Si grass Causes: Contamination from mask and/or chamber walls (due to polymerization) Native oxide or particle micro-mask Re-deposition of mask materials Impact: If the Si-grass happens in the early stage of the process (for example, before fusion bonding), it will create large void during fusion bonding and thus result total yield loss. If happens during structure release process, it will generate particle which could interface with mechanical movement of the device. In the worst case, it will effect local void in Eutectic bonding process which

55 Process impact on device performance Fusion bonding to obtain device layer on top of cavity substrate Eutectic bonding to form electrical connection between device layer and CMOS as well as hematic encapsulation Sample Device Schematics Eutectic bonding layer thickness variation Z-gap Z-axis sensitivity Eutectic bonding squeeze out reliability and yield Stress Offset Pressure / Vacuum Q-factor Sensitivity & Noise & Power

56 Dependence on process (I)

57 Dependence on process (II) Process variation: effect on sensitivity +/-20% vertical gap 2.5x sensitivity variation +/- 0.3 um beam width 1.5x sensitivity variation High variation requires ASIC complexity to trim Stiction: related to contact surface properties, is a major MEMS failure mode Bonding quality: determines device mechanical stability and also packaging hermeticity; in some case, electrical connectivity is also related to bonding quality Misalignment: margin built in layout, affects device size and also device zero offset

58 Issues Specific to MEMS - Packaging MEMS nature is micromechanical structure: Some form of encapsulation is necessary to avoid damaged during wafer dicing process Package environment is important for some device that hermeticity is required Special packaging requirement such as transparent cover, hole in the package for pressure sensor and etc Main considerations: Bonding method Stack thickness Mechanical stress of package Coefficient of thermal expansion mismatch Thermal management Electrical feed through method Foot print

59 The Future of MEMS ECO-System

60 The Future of MEMS ECO-SYSTEM (I) Monolithic CMOS/MEMS process platform further standardization (for at least certain type of devices such inertial sensors and combos) to yield device with lower parasitic, better performance and lower power consumption 8 inch wafer become main stream to leverage IC infrastructure for cost effectiveness. Process design kits (PDKs) and MPW format similar to those in traditional IC industry will improve the efficiency of MEMS-IC co-design and also time-tomarket. Design and modeling software company to cooperate with foundry/idm, providing more accurate prediction of device functionality based on specific process flow characteristics.

61 The Future of MEMS ECO-SYSTEM (II) Wafer level encapsulation and packaging technology will also become mainstream to enable higher/stable packaging yield, smaller MEMS device footprint, and cost-effective wafer-level sensor combo. Wafer Level Chip Scale Package using Through-Silicon-Via provide higher levels of system integration, which ultimately speeds up time-to-market, will also be adopted to further lower the sensor foot print. THE END

L5: Micromachining processes 1/7 01/22/02

L5: Micromachining processes 1/7 01/22/02 97.577 L5: Micromachining processes 1/7 01/22/02 5: Micromachining technology Top-down approaches to building large (relative to an atom or even a transistor) structures. 5.1 Bulk Micromachining A bulk

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

MEMS Fabrication I : Process Flows and Bulk Micromachining

MEMS Fabrication I : Process Flows and Bulk Micromachining MEMS Fabrication I : Process Flows and Bulk Micromachining Dr. Thara Srinivasan Lecture 2 Picture credit: Alien Technology Lecture Outline Reading Reader is in! (at South side Copy Central) Kovacs, Bulk

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Bulk

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Metal bonding Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Timing (delete before presentation) Introduction (Outline, available bonding techniques, evaluation of metal bondings)-3

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Bulk Silicon Micromachining

Bulk Silicon Micromachining Bulk Silicon Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Types of bulk micromachining silicon anisotropic etching crystal orientation isotropic

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Lecture 5: Micromachining

Lecture 5: Micromachining MEMS: Fabrication Lecture 5: Micromachining Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class E-beam lithography X-ray

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Lecture 3: Integrated Processes

Lecture 3: Integrated Processes Lecture 3: Integrated Processes Single-Crystal Silicon Process Integration Polysilicon Micromachining Process Integrated CMOS Micromachining Process ENE 5400, Spring 2004 1 Single Crystal Silicon ENE 5400,

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Mikrosensorer. Microfabrication 1

Mikrosensorer. Microfabrication 1 Mikrosensorer Microfabrication 1 Literature Introductory MEMS Fabrication and Applications Thomas M. Adams and Richard A. Layton Available as ebook on http://www.lub.lu.se/en/search/lubsearch.html This

More information

Integrated Processes. Lecture Outline

Integrated Processes. Lecture Outline Integrated Processes Thara Srinivasan Lecture 14 Picture credit: Lemkin et al. Lecture Outline From reader Bustillo, J. et al., Surface micromachining of MEMS, pp. 1556-9. A.E. Franke et al., Polycrystalline

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Wafer-to-Wafer Bonding and Packaging

Wafer-to-Wafer Bonding and Packaging Wafer-to-Wafer Bonding and Packaging Dr. Thara Srinivasan Lecture 25 Picture credit: Radant MEMS Reading Lecture Outline Senturia, S., Chapter 17, Packaging. Schmidt, M. A. Wafer-to-Wafer Bonding for Microstructure

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape Beam Leads The vast majority of chips are intended for connection with thermosonic bonds: all other methods require some modification to the wafer. As early as 1972, Jordan described three gang-bonding

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Jamil Akhtar Professor AcSIR New Delhi Chief Scientist & Head, CSIR-CEERI, Pilani, INDIA CEERI, Pilani A constituent laboratory of CSIR,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

PETER PAZMANY CATHOLIC UNIVERSITY Consortium members SEMMELWEIS UNIVERSITY, DIALOG CAMPUS PUBLISHER

PETER PAZMANY CATHOLIC UNIVERSITY Consortium members SEMMELWEIS UNIVERSITY, DIALOG CAMPUS PUBLISHER PETER PAZMANY CATHOLIC UNIVERSITY SEMMELWEIS UNIVERSITY Development of Complex Curricula for Molecular Bionics and Infobionics Programs within a consortial* framework** Consortium leader PETER PAZMANY

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

The Berkeley Marvell NanoLab

The Berkeley Marvell NanoLab The History The Berkeley Marvell NanoLab The Culture The Present The BNLA The Capabilities The End MEMS Industry Group M2M 20May2014 Bill Flounders, Ph.D. NanoLabExecutive Director The History The Berkeley

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Semiconductor Manufacturing Process 10/11/2005

Semiconductor Manufacturing Process 10/11/2005 Semiconductor Manufacturing Process 10/11/2005 Photolithography Oxidation CVD PVD Photolithography The purpose of photolithography is to imprint the desired pattern of a micro component on a substrate,

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

SURFACE MICROMACHINING

SURFACE MICROMACHINING SURFACE MICROMACHINING Features are built up, layer by layer on the surface of a substrate. Surface micromachined devices are much smaller than bulk micromachined components. Nature of deposition process

More information

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO INF5490 RF MEMS LN02: MEMS Fabrication Spring 2012, Oddvar Søråsen Department of Informatics, UoO 1 Micromachining Today s lecture Important process steps General Summary: MEMS-specific steps Examples

More information

Design Handbook. amems Process Technology. A RSC MEMS Technology. Rockwell Scientific Company, LLC. Revision 1.0

Design Handbook. amems Process Technology. A RSC MEMS Technology. Rockwell Scientific Company, LLC. Revision 1.0 Design Handbook amems Process Technology A RSC MEMS Technology Rockwell Scientific Company, LLC Revision 1.0 Copyright 2005 Rockwell Scientific Company, LLC. All rights reserved. Pyrex and Vycor are trademarks

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs

Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Silicon Nitride Biaxial Pointing Mirrors with Stiffening Ribs Todd J. Kaiser, B. Jeffrey Lutzenberger, Robert A. Friholm, Phillip A. Himmer, David L. Dickensheets Department of Electrical and Computer

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture EE C245:

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information